Multi-rate ripple-free deadbeat control for nonlinear systems

الحجم: px
بدء العرض من الصّفحة:

Download "Multi-rate ripple-free deadbeat control for nonlinear systems"

النسخ

1 The Islamic University of Gaza Deanery of Graduate Studies Faculty of Engineering Electrical Engineering Department الجامعة الإلسالمية غزة عمادة الدراسات العليا كلية الھندسة قسم الھندسة الكھربائية Master Thesis MULTI-RATE RIPPLE-FREE DEADBEAT CONTROL FOR NON-LINEAR SYSTEMs A Thesis Submitted To The Faculty Of Engineering. In Partial Fulfillment of the Requirements For The Degree of Master of Science in Electrical Engineering The Islamic University Of Gaza, Palestine Prepared By: Mohammed Elamassie Advisor Dr. Hatem Elaydi 0

2 ii

3 ABSTRACT Deadbeat control was investigated extensively in the last couple of decades, but a need still exists to offer a better methodology for both performance and robustness for linear and nonlinear systems. This research proposes a new design methodology for ripple-free deadbeat control for nonlinear systems in discrete-time. The proposed method combines two ripple-free deadbeat control laws. The new controller guarantees robustness and handles multi-rate systems. Multi-rate digital control is used when processing time is greater than controller updating time; thus, processing time can be decreased by increasing at least one of the following: state feedback sampling time; output feedback-sampling time, input sampling time, and/or decreasing controller-updating rate for some processes; therefore, the sampling time is not unique for the whole system. The new controller is applied on magnetic ball levitation CE 5 as a case study for nonlinear systems. The deadbeat tracking formulation is based on a polynomial approach and a time domain approach; thus, the proposed method combines two deadbeat control laws. In this thesis, the time domain approach is used to ensure the local behavior of the nonlinear system, while the polynomial approach is used to provide deadbeat control to the linearized nonlinear system. Nonlinear system is linearized using feedback linearization, A second order linear model is used to approximate the nonlinear system based on two dominant poles; thus, the settling time which depend on the minimum order solution of Diophantine equations is minimized. Xilinx MATLAB toolbox is used to implement the new controller on real-time magnetic ball levitation. Sub controller, which depends on polynomial approach, is written in VHDL code, simulated and compared with original and approximated SIMULINK model using Xilinx DSP toolbox. Simulation and real-time results shows that the output signal exactly tracks the input sinusoidal signal in short settling time. The time domain specification for the output signal, control signal, and error signal are computed and satisfied the requirement and constraints. A time delay is also presented and included in the model and the solution is based on two Diophantine equations that form the ripple-free deadbeat. iii

4 ملخص البحث على الرغم من كل الجھود السابقة لتصميم نظام التحكم المرھق أو ما يمكن تسميته بنظام الضربة القاضية ألنظمة خطية وغير خطية في العقدين األخيرين فال زلنا بحاجة لتصميم أنظمة تحكم بطرق جديدة لضمان األداء األفضل والقوة لألنظمة الخطية والغير خطية. ھذا البحث يقدم نظام تحكم جديد ألنظمة غير خطية لھا أكثر من معدل ألخذ العينات. وھو يقوم بالدمج بين نظامين يقومان سويا بالتحكم بنظام غير خطي بسرعة فائقة وبثبات وقوة بحيث ال توجد تسبة خطأ في تتبع اإلشارة المرجعية وال يوجد اھتزاز في تتبعھا. المتحكم ذو المعدالت المختلفة ألخذ العينات يستخدم لعدة أسباب منھا أن زمن معالجة البيانات أكبر من زمن أخذ العينات لذلك يمكن تقليل زمن معالجة البيانات بزيادة واحد على األقل من التالي: زمن أخذ عينات اإلشارة الراجعة من متغيرات الحالة time) (state feedback sampling زمن أخذ عينات اإلشارة الراجعة من إشارة الخرج time) output ) feedback-sampling زمن أخذ عينات اإلشارة الداخلة time) input ) sampling و/أو زيادة زمن معالجة البيانات من أجل ذلك تم أخذ العينات من أكثر من مدخل وبمع دالت مختلفة ألخذ العينات فمعدل أخذ العينات ليس واحد في كل النظام. تم تطبيق المتحكم الجديد على جھاز رفع الكرة مغناطيسيا CE 5 حيث أنه يعتبر مثال لألجھزة الغير خطية. يتم إيجاد المتحكم المرھق ألنظمة خطية بطريقتين إحداھما ھي طريقة المجال الزمني واألخرى باستخدام مجال المعادالت. طريقة المجال الزمني تعتمد على الحل الذي يستھلك طاقة أقل ويحل المشكلة باستخدام معادالت فضاء الحالة space) (state. أما طريقة مجال المعادالت فإنھا تعتمد على حل معادلة الدايفنتين (Diophantine) ويحل المشكلة باستخدام معادلة النقل Function).(Transfer أما األنظمة غير الخطية يتم إيجاد نظام التحكم المرھق بطريقة المجال الزمني. في ھذه الدراسة تم إيجاد نظام التحكم المرھق ألنظمة تحكم غير خطية لھا مع دالت مختلفة ألخذ العينات بالدمج بين طريقة المجال الزمني وطريقة مجال المعادالت حيث أن طريقة المجال الزمني تستخدم لضمان اإلستجابة الجزئية والمحلية ) local (behavior ألنظمة غير خطية حيث يتحول النظام الغير خطي إلى نظام خطي بينما طريقة مجال المعادالت تستخدم لضمان اإلستجابة التامة للنظام الغير خطي والذي تم تحويله لنظام خطي. األنظمة الغير خطية يتم تحويلھا إلى أنظمة خطية باستخدام الطريقة التي تعتمد على متغيرات الحالة واشارة المخرج linearization).(feedback النظام الغير خطي سيتم عمل تقريب الدائه ل تظام خطي من الدرجة الثانية باإلعتماد على الجذرين األكثر تأثيرا بسلوك النظام poles) two ) dominant حيث أن زمن وصول استجابة النظام لحالة الإلستقرار time) (settling والتي تعتمد على حل الدرجة األصغر لمعادلة الدايوفانتين سيصبح أصغر. بيئة المحاكاة SIMULINK الموجودة في برنامج MATLAB تم استخدامھا لعمل محاكاة لنظام رفع الكرة مغناطيسيا ثم استخدام Xilinx MATLAB toolbox لربط جھاز رفع الكرة مغناطيسيا وتطبيق نظام التحكم الجديد عليه والتحكم به عن طريق بيئة.SIMULINK الجزء الخاص بمجال المعادالت تم تحويله إلى لغة VHDL ومن ثم عمل مقارنة لكود VHDL مع نظام المتحكم الحقيقي باستخدام.Xilinx DSP toolbox المحاكاة والتطبيق العملي للمتحكم الجديد أظھروا أن اإلستجابة تتبع اإلشارة المرجعية بدقة في زمن قصير جدا. اإلستجابة والخصائص الخاصة بإشارة اإلستجابة و إشارة التحكم و إشارة الخطأ حققوا المطلوب والتزموا بالقيود. التأخير الزمني تم التعامل معه أيضا معتمدين على معادلتي الدايوفانتين اليجاد نظام التحكم المرھق الخالي من التموجات بمعدالت مختلفة ألخذ العينات. iv

5 DEDICATION I dedicate this work to my Mother and my Father who encourage me to complete my studies, who taught me when I was child, who gave me their time, love, and attention. I also dedicate this thesis to my beloved grandparents, my sisters, my wife, and my son: Taysier. v

6 ACKNOWLEDGEMENT At the beginning, I thank ALLAH for giving me the strength and health to let this work see the light. I thank my supervisor Dr. Hatem A. Elaydi for his time, consideration, suggestions, ideas and advice during this thesis. Special thanks go to Dr. Basil Hamed and Dr. Assad Abu-Jasser - thesis examiners- for their patience, guidance, and generous supports during this research. Many thanks go to my best friends for their help. I also thank my wife for her infinite support and patience. Words will not be enough to thank my family for their patience and encouragement during my thesis. Finally, thanks for everyone who has raised his hands and prayed ALLAH for my success. vi

7 TABLE OF CONTENTS CHAPTER INTRODUCTION..... GENERAL INTRODUCTION..... CLOSED-LOOP CONTROL SYSTEM: DIGITAL CONTROLLER: Features of Digital Controllers Digital controller requires: PREVIOUS STUDIES: THESIS CONTRIBUTION: OUTLINE OF THE THESIS... 4 CHAPTER BACKGROUND MULTI-RATE DIGITAL CONTROL REASONS FOR USING MULTI-RATE DIGITAL CONTROL MULTI-RATE RIPPLE-FREE DEADBEAT CONTROL FOR NONLINEAR SYSTEMS Multi-rate input controller for linear system (Hiroshi approach): Ripple-free deadbeat controller (R. PAZ approach) State and output feedbacks: Main objective DEADBEAT CONTROLLER FOR LINEAR SYSTEMS (POLYNOMIAL APPROACH) NONLINEAR SYSTEMS FEEDBACK LINEARIZATION SECOND ORDER APPROXIMATION DESIGNING STEPS TO DEADBEAT MAGNETIC BALL LEVITATION CONSTRAINTS... 5 CHAPTER 3 MAGNETIC BALL LEVITATION CE INTRODUCTION TO MAGNETIC BALL LEVITATION CE MODEL ANALYSIS D/A converter Power amplifier: Ball and coil subsystem: Position sensor A/D converter Magnetic constant kc COMPLETE MODELING:... CHAPTER 4 FEEDBACK LINEARIZATNION NONLINEAR SYSTEMS TAYLOR SERIES STATE SPACE OF MAGNETIC BALL LEVITATION CE CONTROLLABILITY AND OBSERVABILITY TESTS: TRANSFER FUNCTION OF LINEARIZED MODEL SPECIFIED TRANSFER FUNCTION STATE FEEDBACK STATE FEEDBACK AND INTEGRAL CONTROLLER DIGITAL CONTROLLER FOR FEEDBACK LINEARIZATION PARAMETERS MODIFICATIONS FOR REAL TIME MAGNETIC BALL LEVITATION SIMPLIFICATION OF FEEDBACK LINEARIZATION OF REAL-TIME SYSTEM DIGITAL EQUATIONS OF FEEDBACK LINEARIZATION Feedback Transfer function Integrator Saturation vii

8 CHAPTER 5 METHODOLOGY AND APPROACH INTRODUCTION OBTAINING A MODEL DECREASING CONTROL SIGNAL USING THE FIRST APPROACH DECREASING CONTROL SIGNAL BY SECOND APPROACH MULTI-RATE RIPPLE-FREE DEADBEAT CONTROLLER FOR NONLINEAR SYSTEM CONVERTING REAL-TIME MODEL FROM BLOCKS TO EQUATIONS WRITING VHDL CODE FOR DEADBEAT CONTROLLER CHAPTER 6 SIMULATION AND REAL-TIME APPLICATION IMPROVING DEADBEAT CONTROLLER FOR LINEAR SYSTEMS MAGNETIC BALL LEVITATION CE5 (NONLINEAR SYSTEM) DEADBEAT CONTROLLER FOR MAGNETIC BALL LEVITATION WITH FEEDBACK LINEARIZATION DEADBEAT CONTROLLER FOR REAL-TIME MAGLEV CE VHDL CODE OF DEADBEAT CONTROLLER FOR REAL-TIME MAGNETIC BALL LEVITATION CE THE XILINX DSP BLOCK SET OBSTACLES AND SOLUTIONS DESIGNING STEPS: ADC APPROXIMATED MODEL OF DEADBEAT CONTROLLER SIMULATION OF VHDL CODE CHAPTER 7 CONCLUSION APPENDIX A VHDL CODE FOR DEADBEAT CONTROLLER APPENDIX B MATLAB M-FILE CODE APPENDIX C GRAPHICAL USER INTERFACE (GUI)... 9 APPENDIX D USED SOFTWARE AND HARD WARE viii

9 LIST OF FIGURES Figure (.): Closed loop control system... Figure (.): Multi-rate control system... 5 Figure (.): Multi-rate control for deadbeat servomechanism... 6 Figure (.3): Ripple-free deadbeat design based on internal model... 7 Figure (.4): Integral control... 7 Figure (.5): Multi-rate ripple-free deadbeat controller for nonlinear systems... 8 Figure (.6): Block diagram representation of the state space equations... 9 Figure (.7): Implementation of the RFDC for linear systems Figure (.8): RFDBC for linear systems with constraint on control magnitude Figure (.9): Block diagram representation of system with feedback linearization... Figure (.0): Plant with state and output feedback with integral control... Figure (.): Feedback linearization for nonlinear system with sampling time = T... Figure (.): Second order approximation of maglev with feedback linearization... Figure (.3): Exact second order approximation of maglev CE5 with FBL... 3 Figure (.4): Deadbeat controller for approximated model... 3 Figure (.5): Deadbeat controller for nonlinear system... 4 Figure (.6): VHDL code vs. SIMULINK block diagram... 4 Figure (3.): CE5 magnetic ball levitation Figure (3.): Principal scheme of the magnetic levitation model Figure (3.3): D/A Converter Figure (3.4): The power amplifier and its internal structure Figure (3.5): Free diagram of the ball and the forces Figure (3.6): Position sensor subsystem... 0 Figure (3.7): D/A converter... Figure (3.8): The complete model of magnetic levitation CE5... Figure (4.): Taylor series expantion Figure (4.): Plant of magnetic Ball levitation CE Figure (4.3): Plant of magnetic Ball levitation CE5 with ADC, and DAC... 7 Figure (4.4): Position of ball at equilibrium... 9 Figure (4.5): State space representation of a plant... 3 Figure (4.6): Plant with state feedback Figure (4.7): Plant with state feedback and integral control Figure (4.8): CE5 with feedback linearization and integral controller Figure (4.9): CE5 with digital feedback linearization and integral controller Figure (4.0): Feedback linearization of real-time magnetic ball levitation Figure (4.): Lowest and highest ball s positions Figure (4.): Illustrative drawing of the need to add the feedback gain Figure (4.3): First simplification of FBL of real-time maglev CE Figure (4.4): Second simplification of FBL of real-time maglev CE Figure (4.5): Third simplification of FBL of real-time maglev CE Figure (4.6): Simplification of FBL of real-time maglev CE Figure (4.7): Feedback transfer function Figure (4.8): Integrator Figure (4.9): Saturation Figure (5.): Block diagram of deadbeat controller Figure (5.): CE5 with third FBL and its approximated model Figure (5.3): SIMULINK deadbeat controller for real-time maglev CE ix

10 Figure (5.4): Feedback linearization for real-time maglev CE Figure (5.5): Integrator Figure (5.6): Feedback transfer function Figure (5.7): Feedback linearization of maglev CE Figure (5.8): N of deadbeat controller Figure (5.9): N of deadbeat controller Figure (5.0): of deadbeat controller D c Figure (5.): Deadbeat of maglev CE Figure (5.): Deadbeat of maglev CE Figure (6.): Deadbeat closed loop system Figure (6.): Time response of minimum order solutions... 6 Figure (6.3): Time response of vector, which was produced by Paz Figure (6.4): Time response of vector, which was produced by first approach Figure (6.5): Time response of vector, which was produced by second approach Figure (6.6): Model of maglev CE5 with FBL Figure (6.7): Step response of CE5 with FBL Figure (6.8): Zoom on to find overshoot and rising time Figure (6.9): CE5 with FBL and its second order approximation Figure (6.0): Step responses of CE5 with FBL and approximated model Figure (6.): CE5 with third FBL and its second order approximation Figure (6.): Step responses of CE5 with third FBL and approximated model Figure (6.3): CE5 with FBL with/without deadbeat controller Figure (6.4): Sinusoidal response of CE5 with FBL and with deadbeat controller Figure (6.5): Sinusoidal response of CE5 with FBL... 7 Figure (6.6): Sinusoidal response of CE5 with FBL and with/without deadbeat controller.. 7 Figure (6.7): FBL of real-time maglev CE Figure (6.8): Response of real-time CE5 with FBL Figure (6.9): Block diagram of deadbeat controller and FBL Figure (6.0): Step response of deadbeat controller with FBL for CE Figure (6.): Sinusoidal response of deadbeat controller with FBL for RT CE Figure (6.): Block diagram of analog to digital converter Figure (6.3): Block diagram of original deadbeat controller Figure (6.4): Block diagram of approximated model deadbeat controller Figure (6.5): Block diagram of subsystem Approx. DB, DB, Black box for VHDL Figure (6.6): Time response of VHDL code versus original controller Figure (6.7): Time response original controller versus its approximated model Figure (6.8): Time response of VHDL code versus approximated model x

11 LIST OF TABLES TABLE (4.): PARAMETERS OF MAGNETIC BALL LEVITATION CE TABLE (6.): COMPARISON BETWEEN PAZ VECTOR, FIRST APPROACH, SECOND APPROACH xi

12 ABBREVIATIONS AC ADC DAC DBC FBL HDL Maglev Maglev CE5 RFDBC RT VHDL Alternating Current Analog to Digital Converter Digital to Analog Converter Deadbeat Controller Feedback Linearization Hardware Description Language Magnetic Levitation Magnetic Ball Levitation CE5 Ripple-Free Deadbeat Controller Real-Time Very high speed integrated Hardware Description Language xii

13 CHAPTER INTRODUCTION.. General Introduction Control systems have played an increasingly important role in the development and advancement of modern civilization and technology []. Practically some types of control systems affect every aspect of our day-to-day activities. Control systems are found in abundance in all sectors of industry, such as quality control of manufactured products, automatic assembly line, machine-tool control, computer control and many others []. Today, almost all controllers are computer implemented meaning digital control [3]. Deadbeat controller is a type of digital controllers, which offers the fastest settling time. Therefore, deadbeat controller ensures that the error sequence vanishes at the sampling instants after a finite time. Plants and processes are typically nonlinear; the most typical nonlinearity is saturation. Since, computer implemented controllers are a standard configuration, a theory for discrete-time nonlinear systems is very important in particular for control design purposes. Indeed, we cannot use linear control theory in cases where: large dynamic range of process variables is possible, multiple operating points are required, the process is operating close to its limits, small actuators cause saturation, etc [4]. A control system is a device or set of devices called the controllerthat manage the behavior of other devices called the plant-.[5]... Closed-loop control system: In a closed-loop control system, a sensor monitors the output and feeds the data to a computer, which continuously adjusts the control output (system input) as necessary to minimize the error as shown in Fig. (.). (That is, to maintain the desired speed, desired position, and so on). Feedback allows the controller to dynamically compensate the disturbances. An ideal feedback control system cancels out all errors, effectively mitigating the effects of any forces that might or might not arise during operation and producing a response in the system that perfectly matches the user's wishes. Referenc e Error Signal Controller System input System System Output Measured output Output Sensor Figure (.): Closed loop control system

14 In reality, this cannot be achieved due to measurement of errors in the sensors, delays in the controller, and imperfections in the control input. The concept of the feedback loop: the sensed value is subtracted from the desired value to create the error signal, which is handled by the controller to produce the control signal, which can achieve the desired response [6]..3. Digital Controller: Digital control is a branch of control theory that uses digital computers to act as system controllers. Depending on the requirements, a digital control system can be a microcontroller, DSP kit, FPGA kit, standard desktop computer and so on. Since a digital computer is a discrete system, the Laplace transform is replaced with the Z- transform. In addition, since a digital computer has finite precision, extra care is needed to ensure that the error in coefficients, A/D conversion, D/A conversion, etc. are not producing undesired or unplanned effects. For any digital controller, the output is a weighted sum of current and past input samples, as well as past output samples, this can be implemented by storing relevant values in any digital controller [3]..3.. Features of Digital Controllers Inexpensive Flexible: easy to configure and reconfigure through software Scalable: programs can be scaled to the limits of the storage space without extra cost Adaptable: parameters of the program can be changed Static operation: digital computers are much less prone to environmental conditions than capacitors, inductors, etc[7]..3.. Digital controller requires: A/D converter: converts analog inputs to machine readable format (digital) D/A converter: converts digital output to a form that can be input to a plant Software program: that relates the outputs to the inputs [3]

15 .4. Previous Studies: Multi-rate ripple-free deadbeat controller for nonlinear system can t see the light without the following researches: H. Elaydi and R. A. PAZ, (998), proposed optimal ripple-free deadbeat controllers for systems with time delays. Matrix parameterization of the Diophantine equation approach was used to solve this problem. Based on this parameterization, LMI conditions were provided for optimal or constrained controllers with design quantities such as overshoot, undershoot, control amplitude, slew rate as well as for norm bounds such as, and [8]. However, they treated linear systems only Dragan Neˇsi c (996), proposed ripple-free deadbeat control for polynomial systems of nonlinear input-output polynomial model. The proposed method dealt with multi-input multi-output systems. Mathematical tools, such as algebraic geometry, real algebraic geometry, symbolic computation and convex analysis were exploited. A number of analytic results were obtained utilizing computationally feasible controllability tests and design methodologies [4]. However, he didn t treat multi-rate problems and didn t use general rule such as Diophantine equations. L. Jetto and S. Longhi, (999), proposed parameterized solution of the deadbeat ripple-free control problem for multi-rate sampled data systems. This paper was provided a parameterization of all causal feedback periodic controllers which guaranteed the deadbeat ripple-free behavior of the output of a linear timeinvariant plant with a general multi-rate control scheme [9]. However, they treated multi-rate problems for linear systems only. H. Ito, (00), improved performance of deadbeat servomechanism by means of multi-rate input control. A state-space approach to deadbeat servomechanism design was proposed using multi-rate input control. Multi-rate input mechanism yielded shorter settling time than single-rate control using the same frequency of sampling. However, multi-rate control often exhibited inter-sample ripple. Furthermore, the paper proposed a design method for multi-rate ripple-free deadbeat control which guaranteed robustness against continuous-time model uncertainty and disturbance [0]. However, the paper still didn t deal with nonlinear systems. 3

16 R. A. PAZ, (006), proposed a ripple free tracking with robustness. A hybrid two-degree-of freedom (DOF) controller for the fixed-order constrained optimization problem addressing performance and robustness specifications was shown. The controller was given in terms of the solution of two Diophantine equations []. However, the nonlinear plants were not delt with. M. E. Salgado and D. A. Oyarzun, (007), presented two objective optimal multivariable ripple free deadbeat controls. A simple parameterization of all stabilizing ripple-free deadbeat controller of a given order was given. The free parameter was then optimized in the sense that a quadratic index was kept minimal [].However, the nonlinear and multi-rate were not approached. Al Batsh (009), proposed multi-rate ripple-free deadbeat control. Two degree of freedom controller for the fixed-order constrained optimization problem addressing performance specifications utilizing the parameters of Diophantine equation to build a multi-rate ripple-free deadbeat control was presented. A combination between the concept of multi-rate and robust single rate was utilized. A time delay was also presented in simulation and was solved by using deadbeat controller based on solving Diophantine equation parameters [3]. However, he didn t treat nonlinear on multi-rate systems..5. Thesis Contribution: This thesis presents methodologies for designing internally stabilizing ripple-free deadbeat controllers to solve the tracking of an arbitrary reference signal and the attenuation of general disturbances for nonlinear systems. The deadbeat tracking formulation is based on Paz s results [] and H. Ito s result [0], thus; the proposed controller combines two deadbeat controllers for linear systems to deadbeat nonlinear systems; thus, the new controller can be divided into two sub controllers: one of them will use polynomial approach and the other will use time domain approach..6. Outline of the Thesis This thesis is organized as follow: The second chapter introduces the forthcoming chapters and summarizes them, the third chapter presents the magnetic ball levitation CE5, the fourth chapter presents the feedback linearization of magnetic ball levitation CE5, the fifth chapter shows the methodology and approach, the sixth chapter shows simulations and results, and the final chapter concludes this thesis. 4

17 CHAPTER BACKGROUND This chapter gives background for the forthcoming chapters. Previous studies which mentioned in page 3 treated single-rate ripple-free deadbeat controller for linear systems, single rate ripple-free deadbeat controller for nonlinear systems without using general rule by dealing with polynomial systems of each nonlinear plant, and multi-rate ripple-free deadbeat controller for linear systems. Therefore, the previous studies did not deal with multi rate ripple-free deadbeat controller for nonlinear system; thus, I will start my work with Paz s result and apply Diophantine equations to the linearized plant using feedback linearization. Therefore, this chapter will cover briefly the multi-rate digital control, deadbeat controller for linear systems, nonlinear systems, feedback linearization, designing steps to deadbeat magnetic ball levitation CE5, and the necessary assumptions... Multi-rate digital control Multi-rate digital control systems are those, which use more than one sampling rate as shown in Fig.(.). If the controller updating rate fc=/tc is the same as the state feedback sampling rate /T, output feedback sampling rate /T 3, and input sampling rate /T, then it s a single-rate system. Figure (.): Multi-rate control system.. Reasons for using multi-rate digital control There are many practical reasons why multi-rate digital control systems might be used. For example: Required sampling rate is greater than the frequency of sampler; therefore; multi-rate technique can be used to map each input sample to K samples (i.e. increase the controller updating rate) 5

18 Processing time is greater than controller updating time, therefore; we should decrease number of processes by increasing at least one of the following: state feedback sampling time; output feedback-sampling time, input sampling time, and/or decreasing controller-updating rate for some processes..3. Multi-rate ripple-free deadbeat control for nonlinear systems This approach here combines results developed on multi-rate ripple-free deadbeat [0], robust ripple-free control [], and nonlinear control theory [9]..3.. Multi-rate input controller for linear system (Hiroshi approach): Deadbeat control can be achieved using state and output feedback with integral control. The two mappings ζ and ξ shown in Fig.(.) are linear operators maps each input sample to K samples; thus, the sampling rate will increase to N*K samples/sec instead of N samples/sec. This structure will yield shorter settling time than single rate with sampling rate equal k samples/sec, but longer settling time than single rate with sampling rate equal N*K samples/ sec. Ripples will appear between original samples due to mapped samples which do not match the original signal []. Therefore, this technique is good when you need sampling rate greater than the frequency of sampler since multi-rate controllers can achieve the required settling time with less frequent sampling of measurement. Figure (.): Multi-rate control for deadbeat servomechanism 6

19 .3.. Ripple-free deadbeat controller (R. PAZ approach) A deadbeat controller design based on the internal model principle and ripplefree deadbeat design was presented by Paz; this technique gave a very good performance and robustness with very small settling time, but with a very high control signal. Since, evaluating the three polynomials N, N, and D c shown in Fig.(.3) depend on the numerator and denominator of plant as well as denominator of reference signal, it can t be applied for nonlinear systems. Figure (.3): Ripple-free deadbeat design based on internal model.3.3. State and output feedbacks: The basic philosophy of feedback linearization is to cancel the nonlinear terms of the system. Therefore, state and output feedbacks don t achieve deadbeat control the nonlinear system it can just linearize the nonlinear system and the state feedback control solves the local tracking problem [9]. Therefore, state and output feedbacks can be used to linearize and stabilize the nonlinear system and to make the response of the system closely equal the reference signal. Figure (.4): Integral control 7

20 .3.4. Main objective From all previous techniques, the ripple-free deadbeat controller for nonlinear system shown in Fig.(.5) consist of the following: State and output feedbacks with integral controller will be used to linearize and stabilize nonlinear system with large sampling rate to make the response of nonlinear system closely equal the reference signal (Time-domain approach). Ripple-free deadbeat design based on the internal model principle will be utilized and applied to the linearized and stabilized nonlinear system with small sampling rate to make the response of the system exactly equal the reference signal and provide some robustness(polynomial approach). Figure (.5): Multi-rate ripple-free deadbeat controller for nonlinear systems Fig.(.5) shows the multi-rate digital control for nonlinear system since the state feedback and output feedback have sampling rate /T samples/sec, while reference signal has sampling rate /(T*K) samples/sec and the controller updating rate of N,N,D c is not the same as the controller updating rate of integrator and feedback gains..4. Deadbeat controller for linear systems (Polynomial approach) A linear system is a mathematical model of a system based on the use of a linear operator. Linear systems typically exhibit features and properties that are much simpler than nonlinear systems [4]. When we want to control the linear system, in general we use the Laplace transform (Z-Transform for digital systems) to represent the system, and when we want to examine the frequency characteristics of a system, we use the Fourier Transform. It is easy to evaluate the Laplace transform of first order differential equation, but it is difficult when the system has multiple first-order differential equations, so state-space approach has become popular [5]. In control engineering, a state space representation is a mathematical model of a physical system as a set of input, output and state variables related by first-order differential equations as shown in Fig. (.6). The state space representation (also known as the "time-domain approach") provides a convenient and compact way to model and analyze systems with multiple inputs and outputs [6]. 8

21 The most general state-space representation of a linear system is written in the following form: x ( t ) A( t ) x ( t ) B ( t ) u ( t ) (.) y ( t ) C ( t ) x ( t ) D ( t ) u( t ) Figure (.6): Block diagram representation of the state space equations Were A(t), B(t), C(t) and D(t) are a function of time, In most models A,B,C and D are time invariant giving rise to the model x ( t ) A x ( t ) B u ( t ) (.) y ( t ) C x ( t ) D u ( t ) This system can be converted to transfer function in the s-domain using Laplace transform. A discrete model can be obtained using the z-transform or modified z- transform for delayed systems. According to Paz [] the deadbeat controller polynomials are obtained by solutions of two Diophantine equations: N P( q) N ( q) Dr( q) Q( q) (.3) N ( q) N ( q) D ( q) D ( q) (.4) P p c Since the Diophantine equation has an infinite number of solutions, we will seek specific solutions that provide desired transient behavior and robustness. In general, Diophantine equations have unique, minimum-order solutions, so there exist N ( q), Q ( q), N ( q), and D ( q) where: unique min min min c min Degree N Degree D (.5) Degree Q Degree N (.6) Degree N degree D (.7) Degree D degree N (.8) min r min p min p cmin p These solutions will be applied to the plant as shown in Fig. (.7), 9

22 Figure (.7): Implementation of the RFDC for linear systems. When the control magnitude is constraint, Paz proposed a solution to minimize the magnitude of control signal according to the length of V where V is vector with unknown coefficients, Paz evaluates V using the MATLAB built-in function qp which is a part of the optimization toolbox. Where: N ( q) N ( q) D ( q) V ( q) (.9) min r New N will be applied to the system as shown in Fig. (.8) Figure (.8): RFDBC for linear systems with constraint on control magnitude. Two steps will be used to evaluate V, where the step response of system will be better, the new vector for the same settling time; will decrease the control magnitude, overshoot, and error signal..5. Nonlinear systems In mathematics, a nonlinear system is a system which does not satisfy the superposition principle, or whose output is not directly proportional to its input. Less technically, a nonlinear system is any problem where the variable(s) to be solved cannot be written as a linear combination of independent components such as squared terms in the following relation [7]. The motion equation of the ball of magnetic ball levitation CE5 is typically nonlinear that can be modeled such as: m x= k ikc x-x 0 -m g (.0) k Where i is the coil current, kc is coil constant, and x 0 is position offset. 0

23 Taylor series expansion will be used to linearize the nonlinear term in equation (.0) by using the first two terms (constant and linear terms) of Taylor series then feedback linearization will be applied..6. Feedback linearization Feedback linearization shown in Fig.(.9) is a popular approach to linearize nonlinear systems. Therefore, linear control techniques can be applied. Feedback linearization will be used because of the following reasons: By using feedback linearization, the dynamic behavior of the system can be shaped (i.e. it is possible to assign the system eigenvalues to arbitrary values). System should be observable and controllable to get a feedback from states and to derive the state vector to final state. Feedback linearization completely different from conventional linearization, because feedback linearization is achieved by exact state transformation and feedback, rather than by linear approximations of the dynamics.[8]. Figure (.9): Block diagram representation of system with feedback linearization A feedback path from the output will be added to form the error, e, which will be fed forward to the controlled plant via an integrator as shown in Fig. (.0). The integrator increases the system type and reduces the error (the previous system with feedback linearization will be dealt as an open loop system). Figure (.0): Plant with state and output feedback with integral control

24 Feedback linearization with integral controller [9] will be programmed using digital computer with sampling time = T as shown in Fig. (.). Figure (.): Feedback linearization for nonlinear system with sampling time = T.7. Second order approximation Second order approximation for linearized model will be evaluated using two parameters rising time t r (or settling time t s ) and overshoot- from step response in order to evaluate the deadbeat controller for linearized model with another sampling rate. The second order approximation will have the following form [6]: n (.) s s n n Where, : Damping ratio and n : natural frequency Figure (.): Second order approximation of maglev with feedback linearization

25 Tuning the parameters of second order system as shown in Fig. (.) until the two outputs Y and Y are the same. Then, and will be and respectively, n n _ new new and the output of approximated model will be equal to the output of linearized model as shown in Fig. (.3). n _ new n (.5) (.6) new and are small numbers. Where, The second order approximation will be as follow: ( n ) n _ new ( )( n ) ( n ) new n _ new n _ new s s s s Figure (.3): Exact second order approximation of maglev CE5 with FBL Nonlinear plant has already linearized with feedback linearization using sampling time T sec.; thus, the three blocks N, N, and D c will be obtained with another sampling time T sec. after evaluated the second order approximation to the linearized plant as shown in Fig.(.4) Figure (.4): Deadbeat controller for approximated model 3

26 Deadbeat controller will be applied on real time magnetic ball levitation and N, N, and D c will be tuned until reach the required response as shown in Fig.(.5). Figure (.5): Deadbeat controller for nonlinear system Finally, VHDL code for Deadbeat controller will be written and simulated using Xilinx DSP toolbox, in this toolbox you could define which FPGA kit will be used and many other properties. Figure (.6): VHDL code vs. SIMULINK block diagram 4

27 .8. Designing steps to deadbeat magnetic ball levitation Magnetic ball levitation CE5 will be used as a case study for nonlinear system by the following steps Deriving the input/output relation of maglev sub-models (D/A converter, Power amplifier, ball & coil subsystem, Position sensor, A/D converter). Evaluating state space of magnetic ball levitation after linearization around midpoint. Applying Controllability and Observability tests to check if the following steps can be done. Evaluating feedback linearization with sampling time T using MATLAB built-in function place, and applying it to magnetic ball levitation CE 5. Evaluating second order approximation to the step response of maglev with feedback linearization. Evaluating deadbeat controller for approximated model. Applying deadbeat controller on real time magnetic ball levitation CE5. Simulating VHDL code of deadbeat control for real time magnetic ball levitation using XILINX DSP toolbox and comparing it with the response of deadbeat block diagram..9. Constraints The following assumptions are necessary []. : nonlinear system is controllable and observable Possibility of forcing the system into a particular state by using an appropriate control signal is required; thus, system should be controllable. Possibility of reading all state variables is required in order to apply feedback linearization; thus, system should be observable. : denominator of reference signal and numerator of plant are coprime in discrete-time. Possibility of tracking reference signal requires no common factor between denominator of reference signal and numerator of plant to ensure that, there is no poles zeros cancellation; thus, denominator of reference signal and numerator of plant should be coprime. 3: there is no sinusoidal term in the reference signal with frequency that coincides with an integer multiple of the Nyquist frequency. Possibility of reconstruct the original continuous signal is required to compare between sensed and reference signals; thus, reference signal must not have frequency that coincides with an integer multiple of the Nyquist frequency. 5

28 CHAPTER 3 MAGNETIC BALL LEVITATION CE 5 Levitation (from Latin levitas "lightness") is the process by which an object is suspended by a physical force against gravity, in a stable position without solid physical contact. A number of different techniques have been developed to levitate matter, including the aerodynamic, magnetic, acoustic, electromagnetic, electrostatic, gas film, and optical levitation methods [0]. Magnetic levitation systems have many varied uses such as in frictionless bearings, high-speed maglev passenger trains, levitation of wind tunnel models, vibration isolation of sensitive machinery, levitation of molten metal in induction furnaces and levitation of metal slabs during manufacturing. These systems have nonlinear dynamics that are usually open loop unstable and, as a result, a high performance feedback controller is required to control the position of the levitated object. Due to inherent nonlinearities associated with electromechanical dynamics, the control problem is usually quite challenging to the control engineers, since a linear controller is valid only about a small region around a nominal operating point []. This chapter will talk about magnetic ball levitation CE5 as one of Magnetic levitation systems. 3.. Introduction to magnetic ball levitation CE5 The Magnetic Levitation Apparatus shows control problems with nonlinear, unstable systems. The apparatus consists of a steel ball held in a magnetic field produced by a current-carrying coil. At equilibrium, the downward force on the ball due to gravity (its weight) is balanced by the upward magnetic force of attraction of the ball towards the coil. Any imbalance, the ball will move away from the set-point position. The basic control task is to control the vertical position of the freely levitating ball in the magnetic field of the coil. The Magnetic Levitation Apparatus is a nonlinear, dynamic system with one input (set point) and two outputs (ball position and coil current)[]. The CE 5 Magnetic Levitation Model, shown in Fig(3.) and its Diagram, shown in Fig.(3.) is an unstable system designed for studying system dynamics and experimenting with number of different control algorithms[3]. 6

29 Figure (3.): CE5 magnetic ball levitation. Figure (3.): Principal scheme of the magnetic levitation model. 3.. Model analysis The CE5 model, shown in Fig. (3.) consists of the following sub models [4]: D/A converter. Power amplifier. Ball & coil subsystem. Position sensor. A/D converter. 7

30 3... D/A converter D/A Converter, shown in Fig.(3.3) has model output voltage u, The D/A converter input U MU, The Digital to Analog converter gain K DA, and The D/A converter offset U 0. The output is defined in eq.(3.) U U *K U (3.) MU DA 0 Figure (3.3): D/A Converter Power amplifier: The power amplifier is designed as a source of constant current with the feedback current stabilization. As shown in Fig(3.4). Relation between input current to and output voltage from power amplifier will be found: (a) Power amplifier (b) Internal structure Figure (3.4): The power amplifier and its internal structure. From internal structure di u m=ir+l +Rsi (3.) dt u =K u-k ir (3.3) m am s 8

31 From (3.) and (3.3) di ir+l +Rsi=Kam u-ks ir s IR+LIS+R si=kamu-k amksr si dt I K am U R L R s +KamKsR s S++ R R I K am if R R s-k amksr s such as this system = (3.4) U R L S+ R simplify the previous relation I =Ki (3.5) U Tas+ where K is Gain, T is time constant i a Equation (3.5) will be used in the next chapter to derive the state space of linearized model of magnetic ball levitation CE Ball and coil subsystem: Figure (3.5): Free diagram of the ball and the forces. The motion equation is based on the balance of all forces acting on the Ball. We have three forces: gravity force F g, electromagnetic force F m and the acceleration force F a., as shown in Fig (3.5), equation of free body diagram will be derived where I is the coil current, k c is coil constant, x 0 is position offset, and K fv is damping constant. According to Newton s second law of motion, the acceleration of an object as produced by a net force is directly proportional to the magnitude of the net force, in the same direction as the net force, and inversely proportional to the mass of the object [5]. 9

32 The net force F a =Fm -F g (3.6) Where; Magnetic force ikc m F = (3.7) x-x g k 0 Gravitational force F =m g (3.8) Accelaration force F =m x (3.9) a k Substituting (3.7),(3.8), and (3.9) into (3.6) ikc m kx= -mkg (3.0) x-x 0 Limits of the ball movements and ball damping is taken into account. So, to model the damping, the term k fv is introduced into the equation ikc m kx+k x= fv -m kg (3.) x-x 0 Equation (3.) will be used in the next chapter to derive the state space of linearized model of magnetic ball levitation CE Position sensor. The position sensor, shown in Fig.(3.6) which used to measure the ball position has model output voltage Y, The Ball position x, The position sensor gain K x, and The position sensor offsety 0.The output is defined in eq.(3.) x K x Y 0 Y Figure (3.6): Position sensor subsystem. Y=k x x Y (3.) 0

33 3..5. A/D converter. The A/D Converter, shown if Fig.(3.7) has model output voltage Y MU, The A/D converter input Y, The analog to digital converter gain K AD, and The A/D converter offset Y MU0. The output is defined in eq.(3.3) Y MU =KADY+Y MU (3.3) Y K AD Y MU Y MU0 Figure (3.7): D/A converter Magnetic constant kc N Inductance L= ( 3.5) R l Resistance R= (3.6) A Coil energy Wm Li (3.4) substituting (3.6) into (3.5) N AN L= (3.7) R l substituting (3.7) into (3.4) AN AN i W m ( ) i (3.8) l * l dwm AN i Force F m = (3.9) dl l ki c Fm (3.0) l from (3.9) and (3.0) AN k c

34 3.3. Complete modeling: The final block diagram of the magnetic levitation model CE 5 is given in SIMULINK model as shown in Fig.(3.8): In Voltage D/A converter gain and offset k_da * u DA converter saturation Power amplifier and coil k_i Ta.s+ k_c / (u - x_0)^ variable gap velocity Motion force Fc /mk /m /s /s position mk*g Gravity force KFv Ball damping k_x * u Position sensor gain and offset k_ad * u A/D convertor gain and offset Out voltage Figure (3.8): The complete model of magnetic levitation CE5

35 CHAPTER 4 FEEDBACK LINEARIZATNION Linearization refers to finding the linear approximation to a function at a given point. In the study of dynamical systems, linearization is a method for assessing the local stability of an equilibrium point of a system of nonlinear differential equations or discrete dynamical systems. This method is used in fields such as engineering. Linearization makes it possible to use tools for studying linear systems to analyze the behavior of a nonlinear function near a given point [6]. 4.. Nonlinear systems Nonlinear system representation means the characterization of nonlinear systems using nonlinear mathematical models. In fact, nonlinear models may be considered as a tool for explaining the nonlinear behavior patterns in terms of a set of easily understood elements [9]. In nature, most practical systems used for control are essentially nonlinear, and in many applications, particular in the area of chaos, it is the nonlinear rather than the linear characteristics that are most used. Signals found in the physical world are also far from conforming to linear models. Indeed, the complex structure of dynamic systems makes it almost impossible to use linear models to represent them accurately [7]. Nonlinear models are designed to provide a better mathematical way to characterize the inherent nonlinearity in real dynamic systems, although we may not be able to consider all their physical properties. The linearization of a function is the first and second order terms of its Taylor series expansion around the point of interest. 4.. Taylor series. In mathematics, the Taylor series is a representation of a function as an infinite sum of terms calculated from the values of its derivatives at a single point. If the series is centered at zero, the series is also called a Maclaurin series(special case of Taylor's series). It is common practice to use a finite number of terms of the series to approximate a function. 3

36 The Taylor series of a real or complex function ƒ(x) that is infinitely differentiable in a neighborhood of a real or complex number a is the power series. (3) f( a) f ( a) f ( a) 3 f ( a) ( x a) ( x a) ( x a)!! 3! ( n ) f ( a) ( x a) n. n! n 0 If the system has two variables, Taylor series can be written as : (n) b *n! f a,b f a,b f(x,y)=f(a,b)+ x-a + y-b a *! b *! (n) f a,b n f a,b n = (n) x-a + y-b (n) n=0 a *n! example If you don't have a calculator and you want to approximate f(x)= 4.00 let us use a=4 ( n ) f (4) n f(x) (4.00 4). n 0 n! (3) f(4) f (4) f (4) 3 f (4) (4.00 4) (4.00 4) (4.00 4)!! 3! (0.00) (0.00) (0.00) 3 5 *(4) *! 4*(4) *! 8*(4) *3! (*0 ) (*0 ) (*0 ) * * 4*8* 8*3* (*0 ) (*0 ) (*0 ) If I use just first two terms, the result will be f(x)= = and If I use a calculator, the result wil be f(x)= 4.00 = The two results are approximately the same, result of approximation will be better if I use extra terms. In Figure (4.) The exponential function (in blue), and the sum of the first n+ terms of its Taylor series at 0 (in red) Figure (4.): Taylor series expantion. 4

37 4.3. State space of magnetic ball levitation CE 5 The magnetic ball levitation CE5, shown in Fig.(3.) and Fig.(3.) is characterized by third order differential equation as shown in equation (3.) ikc m kx= -m kg-k fv x x-x 0 In order to obtain a state variable model, let x = x (4.) x x x (4.) x =i (4.3) 3 Substituting (4.),(4.), and (4.3) into equation (3.) ik c x x mkx +kfv x = -m kg (4.4) x-x 0 x x i kc kfv x x = -g (4.5) mk x-x 0 m k x 3=I From equation (3.5) T s I K U a i Where i(t) can be defined such as: Kiu(t) it ( ) T i ( t ) i ( t ) K u(t) i ( t ) (4.6) a from equation (4.4) i Using Taylor to linearize the term T a ikc x-x 0 around x=a, and i = b. ik c i f(x,i)= =k c (4.7) x-x x-x 0 0 Since, this term has two variables (position vertical displacement, and coil current), extended form of Taylor series with two variables will be used, thus; this series yields the first two terms such as: ( f ( a, b)) ( f ( a, b)) f ( x, i ) f ( a, b) x ( t ) i ( t ) (4.8) ( a)*! ( b)*! k = + x(t)+ i(t) (4.9) i b k -*k *b *k *b c c c c 3 x-x 0 a-x 0 a-x 0 a-x 0 Substituting 4.9 into 4.4 5

38 b k c -*k c *b *k c *b mk x = 3 x ( t ) ( )-m i t kg-k fv x a-x 0 a-x 0 a-x 0 -*k *b *k *b b k m x = - k x ( ) -m g (4.0) c c c k 3 x fv i t k a-x 0 a-x 0 a-x 0 When the ball is fixed at position ( x ) zero; then, the velocity ( x ), acceleration: the derivative of velocity ( x ) and the coil current (i) are all equal zero. Substituting x, x, x,and i 0 into equation (3.6) -* k * b * k * b b k m (0)= (0) - k (0) (0) -m g k bkc a-x 0 c c c 3 fv k a-x 0 a-x 0 a-x 0 -m g = k (4.) subsituting (4.) into (4.0) m x = - k x i ( t ) (4.) -* k * b * k * b c c k 3 x fv a-x 0 a-x 0 from equation 4. and 4.6 -*k c *b k x *k c*b fv x = 3 x - i ( t ) m k a-x 0 mk m ka-x 0 x 3 Kiu(t) it ( ) T a x x -*k c*b k fv *k c*b x x 3 - x i ( t ) ( 4.3) mk a-x 0 mk mk a-x 0 di x 3 it ( ) dt 6

39 Figure (4.): Plant of magnetic Ball levitation CE5 The state space of linearized model shown in Fig.(4.) around point (a,b) will be 0 0 x x 0 -*k c*b -k *k c*b x fv x 3 0 mk a-x 0 mk mk a-x 0 u(t) (4.4) x 3 x 3 Ki 0 0 T a T a x y= 0 0 x (4.5) x 3 Adding the gain of DAC, ADC, and sensor gain as shown in Fig(3.), will result in the following state space model. Figure (4.3): Plant of magnetic Ball levitation CE5 with ADC, and DAC 7

40 x x 0 U MU (t) (4.6) K DA 0 0 Ta T a x Y MU = K x K AD 0 0 x (4.7) x 3 x -*k c*b -k fv *k c*b x 3 mk a-x 0 mk mk a-x 0 x 3 Ki x 3 Equation (4.6) and (4.7) will be used with Table (4.) shown in the next page to find state space model of linearized magnetic ball levitation. Table ( 4.): Parameters of magnetic ball levitation CE 5 [] Parameter Symbol Value ball diameter Dk.7x0-3 m ball mass mk kg distance from the ground and the edge of the magnetic coil Td 0.09 m distance of limits= Dk L m gravity acceleration constant g 9.8 m.s^- maximum DA converter output voltage U_DAm 5 V coil resistance Rc 3.5 Ω coil inductance Lc 30 x0-3 H current sensor resistance Rs 0.5 Ω current sensor gain Ks 3.33 power amplifier gain K_am 00 maximum power amplifier output current I_am. A amplifier time constant= Lc/((Rc+Rs)+Rs*Ks*K_am) Ta.8694 x0-5 s amplifier gain= K_am / ((Rc+Rs)+Rs*Ks*K_am) k_i viscose friction KFv 0.0 N.s/m converter gain k_da 0 Digital to Analog converter offset u_0 0 V Analog to Digital converter gain k_ad 0. Analog to Digital converter offset y_mu0 0 V position sensor constant k_x coil bias x_ x0-3 m Aggregated coil constant k_f x0-6 N/V coil constant =k_f/(k_i)^ k_c x0-6 N/V 8

41 Fig. (4.4) shows all possible positions and the center position of magnetic ball levitation s ball At equilibrium Figure (4.4): Position of ball at equilibrium ) Ball velocity, and accelaration af ball = 0 x x x 0 ) The derivative of current = 0 (let us use the center)=> x m (a=0.0095) Substituting the position of the ball at center as shown in Fig.(4.3), and parameters as in Table.(4.) in equation (4.) bk c b mkg b mkg -m kg 0 = a-x c 0 0 a-x 0 k a-x k c mg k b a-x 0 * (4.8) kc mg k *9.8 b a-x 0 * * k 6.883e 006 c ( ) A (b=0.3568) To compute the input voltage at this point. from equation (3.) K u(t) it ( ) x I 0 K u(t) i ( t ) 0 K u(t) i ( t ) i 3 i i Ta it () u(t) (4.9) Ki V

42 The resulting state space model of linearized magnetic ball levitation CE5 is x 0 0 x 0 x = x + 0 U MU (t) (4.0) x x x Y MU = x (4.) x 3 where A= , B= (4.) C= , D=[0] (4.3) 4.4. Controllability and Observability tests: Before, designing a feedback linearization controller, Controllability and Observability tests must be done. Where Controllability matrix Q c =[B AB A B] (4.4) Observability matrix C = CA (4.5) CA Substituting (4.) and (4.3) into (4.4) and (4.5) e7 Qc 0.476e7-6.39e e e e4 since Q has full rank, then system is controllable c 30

43 = since has full rank, then system is observable Thus, state feedback control is possible 4.5. Transfer function of linearized model Transfer function G(s) is defined such as: G(s)=C SI-A B s = s s Gs ( ) s 9.83* * 0 s.43* 0 5 s 8.464* N ( s ) (4.6) Ds ( ) 4.6. Specified transfer function. The specification for the controller is to be able to position the ball at any arbitrary location in the magnetic field and to move the ball smoothly from one position to another. Thus, the specified transfer function can be obtained from the specifications such as:,os, of 5% and setlling time,t, of 0.0 sec. s OS 5%; (4.7) t 0.0; (4.8) s To obtain a prototype, we need to translate the system specifications to and as; OS s n such ln( OS) ln( 0.05) = = (4.9) ln( OS) ln( 0.05) ln. ln 0.05 n (4.30) t 0.690*0.0 3

44 The second order prototype is (4.3) s n * * n s n Substituting (4.3) and (4.3) into (4.33) s s *0.690* s s 5.33* s.33* 0.33e s.33e (4.3) 5 s with charachteristic equation s * 0 i and pools i, (4.33) Since the linearized model of magnetic levitation CE5 is third order system 'equation(4.6)', we need to add a third pole to our prototype such as Third pole = 00*real(first pole)= i, -33. Required Pools = i, (4.34) The specified Characteristic equation 3 ( s) s ( ) s ( ) s ( ) (4.35) 4.7. State feedback To obtain a state feedback control law, we need to find a matrix K that equates the pools of magnetic ball levitation CE 5 with the pools of the prototype. Given the system shown in Fig.(4.5), x Ax Bu (4.36) y Cx (4. 37) Figure (4.5): State space representation of a plant We need to construct a state feedback control law as shown in Fig.(4.6) 3

45 Figure (4.6): Plant with state feedback In feedback control system, the output states are fed back by the feedback vector (K) to the summing junction. Then the state equations for the closed loop system can be written as: x Ax Bu Ax B ( r Kx ) ( A BK ) x Br (4.38) y Cx To obtain K equation, the specified characteristic equation ( s ) and denominator of linearized maglev D(s) should be used, you could use the MATLAB built-in function place to evaluate K. Then, K=[ ]=[k k k ] (4.39) 3 3 k =-0.475, k =.936, k = (4.40) Adding the gain of position sensor k x and the gain of ADC k to the gain k AD k 6.33 new k (4.4) k x *k AD * State feedback and integral controller When applying the feedback gain to the maglev CE5, the ball didn t settle which means the steady state error is very large; thus, a feedback path from the output has been added to form the error, e, which is fed forward to the controlled plant via an integrator as shown in Fig. (4.7). The integrator increases the system type and reduces the error [9]. Figure (4.7): Plant with state feedback and integral control 33

46 K 4 will be obtained by trial and error, The resulting system is shown in SIMULINK formulation in Fig. ( 4.8). Gain 3 k_c / (u - x_0)^ s K4 DA Converter Power amplifier and coil Motion force variable gap Setpoint Offset 0.5 Signal Generator X Integrator Add D/A Feedback Gain K3 k_i Ta.s+ -mk*g Gravity force /mk /m Fc KFv Ball damping s velocity s position A/D AD converter and Position sensor Scope K Feedback Gain K Feedback Gain Figure (4.8): CE5 with feedback linearization and integral controller 4.9. Digital controller for feedback linearization The selection of the sampling time plays a big role in digital control; however, this topic is beyond this research; thus, a sampling time t s =0.00 sec was chosen. All feedback gains will be taken from the output, so, The gain of position sensor k x and the gain of analog to digital converter k AD should be applied. In digital control, the velocity is obtained by taking the present value minus previous value of position divided by sampling time. so, multiplying gain k by (4.4) k *k * t *0.*0.00 x AD s The MATLAB built-in function cd is used to convert integrator from continuous to digital using first order hold. Since, when the integrator was converted from analog to digital using zero order hold, the system did not work well, after that I used first order hold since it s more accurate and the system worked well z cd tf, 0,.00,'foh ' (4.43) z - The resulting maglev system with digital feedback linearization and integral controller is shown in SIMULINK formulation in Fig.( 4.9) 34

47 Step Gain e-006 / (u )^ 0.5 Manual Switch z z- integrator 00 D/A Power amplifier and coil e-005 s+ Motion force variable gap Setpoint Offset Signal Generator X DA Converter Add Feedback Gain *9.800 Fc / /m 0.0 s velocity A/D s position AD converter and Position sensor Scope Gravity force Ball damping Sum Feedback Gain z Feedback Gain 3 Unit Delay Feedback Gain Figure (4.9): CE5 with digital feedback linearization and integral controller 4.0. Parameters modifications for real time magnetic ball levitation When appling this controller to real time magnetic ball levitation CE5, the system did not work successfully. Modifications were made to the parameters of feedback linearization, as shown in Fig.(4.0) until the system worked well. Gain 3 Step Manual Switch z z- integrator 5 Gain Analog Output Setpoint Offset X Add Analog Output Current _i Analog Input Signal Generator K3 Sum K.975 z Unit Delay 0. sen_x Analog Input K Feedback Gain present position Figure (4.0): Feedback linearization of real-time magnetic ball levitation. From Fig. (4.8) and (4.9) you can see that k changed from 3.90 to.975, k changed from (6.966*.936=.65) to 4.755, and There is no noticeable change when converting the value of k 3. 35

48 Position sensor will read the position and convert it to volt. The maximum displacement from lowest to highest position is about cm as shown in Fig. (4.). At lowest position 0 cm the sensor will read 0V and from highest position cm the sensor will read 5V. To create an error signal, the sensed value (present ball s position) is subtracted from the desired value (required ball s position). Thus, the sensed value should be multiplied by 0. to convert it from voltage to position. 5 volts is required to be applied on the coil to make the ball suspended at the upper pole cm. Therefore, the control value should be multiplied by 5 to convert it from position to voltage. Figure (4.): Lowest and highest ball s positions. Example: The reference signal is constant and equal 0.5 ( required vertical displacement is 0.5cm ) Figure (4.): Illustrative drawing of the need to add the feedback gain 0. 36

49 4.. Simplification of feedback linearization of real-time system Remove Gain 3, Gain 4 and feedback Gain from Fig(4.9), and then make the required changes. The resulting system is shown in SIMULINK formulation in Fig.( 4.3) z z Integrator= *5* (4.44) z - z - K 3new =5* (4.45) K, K, *5*0. new K, (4.46) Figure (4.3): First simplification of FBL of real-time maglev CE5. Current sensor smoothes the response of the system; thus, removing it to approximate the system and to be able to program the system in any programmable kit, combining the circled terms. The resulting system is shown in SIMULINK formulation in Fig.( 4.4) z z Circled terms= (4.47) z z Figure (4.4): Second simplification of FBL of real-time maglev CE5. 37

50 The circled block can be moved to arrowed locations in order to simplify the system. The resulting system is shown in SIMULINK formulation in Fig.( 4.5) z z Integrator=0.* (4.48) z - z - Figure (4.5): Third simplification of FBL of real-time maglev CE5. The two circled blocks in Fig. (4.5) can be combined as shown in Fig(4.6) 0.05 z z Circled terms= z - z z z z z (4.49) In z z- integrator From 0 to 5 V Out feedback _TF z z z -z In 3 Figure (4.6): Simplification of FBL of real-time maglev CE5. 38

51 4.. Digital equations of feedback linearization Feedback linearization shown in Fig.(4.7) consists of three blocks: two transfer functions and saturation. The three blocks will be converted to equations that can be programmed using any programmable kit Feedback Transfer function Figure (4.7): Feedback transfer function A senx Z - Z - Z A ( n) senx ( n) senx ( n ) senx ( n ) A ( n )------(4.5) Z Z Z Z (4.50) 4... Integrator Figure (4.8): Integrator B Z Z (4.5) FB Z - - Z B ( n) = FB( n) FB( n ) B ( n ) (4.53) Saturation Figure (4.9): Saturation From (4.5) and (4.53) OP = B-A (4.54) if (OP>5) then OP=5; elseif (OP<0) then OP=0; (4.55) OPS=OP; Equations (4.5), (4.53) and (4.55) should be used to wrie VHDL code for feedback linearization 39

52 CHAPTER 5 METHODOLOGY AND APPROACH This chapter covers the approached methodology used to develop the control law for linear systems, used to deadbeat nonlinear system using multi-rate deadbeat controller, used to write VHDL code for deadbeat controller of magnetic ball levitation. 5.. Introduction The problem of tracking a general reference signal in a ripple-free deadbeat fashion for nonlinear, SISO multi-rate systems is considered. We give a design procedure for a controller under which the output of the closed loop system exactly coincides with the reference signal after a fixed (finite) time. The design provided here allows for constraints on control magnitude as well as on many time domain properties such as overshoot, norms of control signal and error signal. The Diophantine equation plays an important role in the design and synthesis of controllers in the frequency domain. The Diophantine equation has an infinite number of solutions that all provide an internally stabilizing controller. The parameterization of the Diophantine equation is based on obtaining a matrix equation with the two unknown expressed in matrix form 5.. Obtaining a model Fig. (5.) shows the deadbeat controller for linear plant P which consist of the original plant to be controlled G c and the modeled filter M c Figure (5.): Block diagram of deadbeat controller 40

53 The following functions are written in q-domain From figure (5.) P Mc * Gc (5.) R * N - Y * N R * N * P Y * N * P Y * P - Dc Dc Dc Y * N * P R * N * P R * N * P Y Y (5.) Dc Dc Dc N * P numr nump * N * numr * nump * N Y denr denp nump Dc N * * * * * denr denp Dc denr nump N denp numr nump * N Y (5.3) denr denp * Dc nump * N From Equation number (5.3) Y (Desired Response) will be equal Reference signal if and only if nump * N = denp *Dc+ nump * N and for fast response (deadbeat) all poles should be at Origin denp *Dc+ nump * N nump * N (5.4) denp*dc+nump*n = (5.5) nump*n = (5.6) Transfer function between control and reference Y U= (5.7) P substituting (5.) into (5.6) numr *N R*N U= denr denp * numr*n Dc+N *P nump D +N * *Dc+ * * N c * denp denr denr nump denp Transfer function between Error signal and reference (5.8) R*N *P E=R-Y=R- Dc+N *P denr* denp*dc+nump*n denp*dc+n *nump *numr- N *nump *numr E= (5.9) 4

54 Substituting (5.5) and (5.6) into (5.3),(5.8),and (5.9) numr nump*n numr denr denp*dc+nump*n denr Y = = =R (5.0) denp*numr*n den(r)*(denp*dc+nump*n ) U= = (5. denp*numr*n den(r) ) denr* denp*dc+nump*n denp*dc+n *nump *numr- N *nump *numr E= =Zero (5.) The previous results are obtained by the default solution, which make the system to settle, and eliminate the error within smallest time. The control signal will depend only on denominator of plant, numerator and denominator of the reference signal, and the obtained polynomial N, so if the infinity norm of control signal is larger than acceptable value for any programmable kit such as FPGA, DSP Kit, Microcontroller, and so on. We should decrease the maximum absolute value of control signal by evaluating another polynomial instead of N, so let us called the previous N as N min. Zero term will be added to the equation (5.6) nump* Nmin 0 nump* N min Q * denr nump* N min + Q * denr nump* denr * V+numP* V* denr nump* N denr * V + denr Q + nump* V min Defining new N, and Q Nnew =Nmin- denr*v (5.3) Q =Q + nump*v (5.4) new min Substituting (5.3) into (5.3),(5.8), and(5.9) to find the new transfer functions from output, control, and error signals versus reference signal. numr nump* Nmin - denr*v numr nump*n new Y = denr denp*dc+nump*n denr denp*d c+nump*n Y = R (5.5) denp*numr* N - denr*v denp*numr*n U= = den(r)*(denp*dc+nump* N ) den(r) min new min U = R*denP numr* N (5.6) 4

55 E= = N min denp*dc+ *nump *numr - N - denr* V *nump *numr denr* denp*dc+nump* N denp*dc+n *nump *numr- N new denr* denp*dc+nump*n *nump *numr E=zero (5.7) The previous results make the system to settle, and eliminate the error. The control signal will depend only on denominator of plant, numerator and denominator of the reference signal, and the polynomial N new that depend on the vector V. Paz evaluates V that decrease the norm of control signal by quadratic programming. In the next two sections, two approaches will be discussed to evaluate V by another method Decreasing control signal using the first approach Minimizing control signal by minimizing its numerator by two steps V will be obtained by making equation (5.3) equal zero; this will be solved by making all coefficient of each order equal zero. N 0 N - denr*v (5.8) min where N min and denr are polynomial with known coefficients, while V is vector with unknown coefficients Steps: Choosing the length of V then make a polynomial in q-domain ( a* q b), ( a* q b * q c), and so on. where a,b,c,... are unknowns. Substituting V into (5.8) and solving the polynomial by making the coefficient of each order equal zero. Where N min and D r are polynomials with known coefficients. Such as: (n z+n ), (n z n z+n ), and so on. where n,n,n,... are knowns. 3 3 Then, (N Dr * V 0) min 43

56 ( n * a)* q (n *a +n *b)* q ( n * a n * b +n *c)* q (n *b+n *c-n )* q (n n * c n * d ) (5.9) n * a 0 n n *a +n 3*b 0 a n n b n3 * a n 4* b +n 5*c 0 n3 n4 n5 0 0 c n 6*b+n 7*c-n n6 n7 0 n8 d n 9 n0 * c n * d 0 0 n0 n n 9 Using pseudo inverso to find the coefficients of V n a n n3 0 0 b 0 n3 n4 n (5.0) c 0 n6 n7 0 n8 d 0 0 n0 n n 9 Example: Here, we consider the system in q-domain (+0q) (+3.65q) (+0.457q) (+0.098q) Pq= (.66q.8 q )(.97 q q ) Discrete time reference signal in q-domain q (+ q) Rq= (.97q q ) Minimum order equation of N N min = *q Computing the coefficients of V with length equal 3 Let V a * q b * q c where a,b,and c are unknown (5.) denr= q *q (5.) Substituting (5.),(5.), N into (5.8) min 4 3 Nmin - denr*v =( a) q (.973 a - b) q ( a.973 b -c) q (-b+.973c-.9678) q (.8468 c) (5.3) 44

57 a a - b a 0 a.973 b -c=0.973 b 0 -b+.973c c c a b.973 * c V = Substituting N min, denr, and V into (.9) to obtain new N will increase the order of N as shown in the following relation (5.4) 3 4 N =Nmin- denr*v = q 0.585q 0. q q The order of new N is larger than the order of N min by three; therefore, the length of vector V will increase the order of transfer functions from output, control, and error signals versus reference signal by three. Therefore, the settling time will be increased by three sampling times Decreasing control signal by second approach Minimizing control signal by evaluating the new vector called bestv by combining the vector which was obtained by quadratic programming (Paz method) with vector which was obtained by my first approach Steps: Computing Vector V by Paz method and infinity norm of control signal using this vector Computing Vector V by my first approach and infinity norm of control signal using this vector Changing the elements of the vector which was obtained by my approach with elements of the vector which was obtained by Paz (element by element), and deciding which element of my vector will decrease the norm of control signal 45

58 when replacing with element of Paz vector to make a new vector called V new. This is called First step Subtracting Vector which was obtained by Paz from vector V new to find the new vector and add the partial of this vector multi-times to V new and still adding while the norm of control signal is still decreasing. This is called Second step The previous steps will be done as follow: Paz Vector X x x x x x =..., 3 4 n Computing the norm of control signal using X norm(u,inf)=normx (5.5) First approach Vector V = v v v 3 v 4... v n, Computing the norm of control signal using V norm(u,inf)=normv (5.6) First step: Changing the first element of X with first element of V new V = v x x x...x, new 3 4 n Computing the norm of control signal using Vnew norm(u,inf)=normv (5.7) Changing the second element of X with second element of V V = x v x x...x 3 4 n, new Computing the norm of control signal using Vnew norm(u,inf)=normv (5.8) new Changing the third element of X with third element of V new 3 V = x x v x...x, new n Computing the norm of control signal using Vnew 3 norm(u,inf)=normv (5.9) 46

59 Changing the fourth element of X with fourth element of V [ x ] V = x x v...x, new n Computing the norm of control signal using Vnew 4 norm(u,inf)=normv (5.30) new 4... Changing the n th element of X with n th element of V [ x ] V = x x x...v, newn 3 4 n Computing the norm of control signal using Vnewn norm(u,inf)=normv (5.3) newn Using elements which decrease the norm Assume that normv new,normv new 4,and normvnewn < normx the new vector will be V = x v x v...v (5.3) new [ ] 3 4 n The infinity norm obtained using V new less than infinity norm obtained by using any of the previous vectors Second step: The difference between Vector V new equation(5.3) and X equation (5.5) will be used to decrease the infinity norm of control signal by multi iterations as follow: The difference between X and V [ ] [ ] V = V - X = x v x v v x x x x x d new 3 4 n 3 4 n = ( x x ) ( v x ) ( x x ) ( v x ) ( v x ) ( v x ) ( v x ) ( v x ) = new n n n n (5.33) When adding V d to X, the infinity norm of control signal is decreased; thus, the partial of V d will be still added to X while the infinity norm of control signal is still decreasing as follow: 47

60 while new norm < brevious norm Vd V new = V new +, where L is any positive decimal number (5.34) L compute new infinity norm end V = V. best new for example: the while loop was done 4 times and L=0 the vector which I called V best 4v 4v4 4vn V best = x v + x v 4+ v n (5.35) Multi-rate ripple-free deadbeat controller for nonlinear system Magnetic ball Levitation CE5 was chosen as a case study since this apparatus is placed at IUG laboratory. Ripple-free deadbeat control is desired to be used to meet the certain specifications such as: Finding second order approximation of maglev CE5 with feedback linearization using two parameters rising time (or settling time) and overshoot. Equations (.), (,3), and (.4) will be used The second order approximation (5.36) s n ns n Converting the approximated model from analog to digital using required sampling rate in deadbeat Controller The second order approximation in q-domain Kq Lq (5.37) Mq Nq Where K,L,M, and N are unknown and depend on damping ratio and natural frequency The reference signal in q-domain O Pq Input signal R(q)= (5.38) in z-domain ( Qq Rq ) Oz Pz Input signal R(q)= (5.39) ( z Qz R ) Where O,P,Q, and R are unknown Numerator and denominator of plat and reference signal in q-domain 48

61 nump( q) Lq Qq,denP( q) Nq Mq,denR( q) Rq Qq (5.40) nump(z)= L Qz,denP( z ) N Mz z,denr( z ) R Qz z (5.4) Evaluating the minimum order for N and Q Order of vector N and Q =max( order(nump), order(denr) ) - = (5.4) Evaluating the minimum order for N and Q Order of vector N and D c=max( order(nump), order(denp) ) - = (5.43) Defining two polynomials with order equal with unknown coefficients and making the first Diophantine equation. let N =a*q+b and Q = c*q+d where a, b, c, and d are unknown (5.44) First Diophantine equation (Np *N)+(Dr*Q) = (5.45) Substituting (5.40) and (5.44) into (5.45) (L* q K* q)(a*q+b) ( q +Q*q+)(c*q+d) R ( 4 ) 3 (L *a+r*c)*q ( K * a L* b Q * c R * d )* q ( K * b c Q * d )* q ( d ) (5.47) All coefficients of equation (5.47) should be equal zero, to find all unknowns a, b, c and d, L *a+r*c 0 L *a+r*c 0 K * a L* b Q * c R * d 0 K * a L* b Q * c R * d (5.48) K * b c Q * d 0 K * b c Q * d 0 d 0 d Using pseudo inverso to solve equation(5.48), in this special case we don't need to use pseudo inverso, in general I programmed an m-file to solve any equation either the matrix is square or not L 0 R 0 a 0 K L Q R b (5.49) 0 K Q c d L 0 0 a R 0 b K L Q R 0 * (5.50) c 0 K Q 0 d N= a q+b, Q = c*q+d, now a, b, c, and d are knowns 49

62 Defining two polynomials with order equal with unknown coefficients and making the second Diophantine equation. let N =a*q+b and D = c*q+d where a, b, c, and d are unknown (5.5) c Second Diophantine equation (nump*n)+(denp*dc)= (5.5) Substituting (5.40) and (5.5) into (5.5) L* q + Q*q a*q+b N*q +M*q+ c*q+d (5. 53) 3 (L* a N * c)* q (Q* a L* b+m * c N * d )* q ( Q* b c +M * d )* q ( d ) (5.54) All coefficients of equation (5.47) should be equal zero, to find all unknowns a, b, c and d. L* a N* c 0 L* a N* c 0 Q* a L* b +M * c N* d 0 Q* a L* b +M * c N* d (5.55) Q* b c +M * d 0 Q* b c +M * d 0 d 0 d Using pseudo inverso to solve equation(5.48) L 0 N 0 a 0 Q L M N b ( 5.56) 0 Q M c d a L 0 N 0 0 b Q L M N 0 * (5.57) c 0 Q M 0 d N =a*q+b and Dc = c*q+d (5.58) These equations will be used in the next step to find deadbeat controller for approximated model of maglev CE5 with feedback linearization 50

63 s Integrator Gain 3 5 Add Zero -Order Hold K. D/A DA Converter Power amplifier and coil e-005 s+ Motion force *9.800 Gravity force Fc e-006 / (u )^ / /m variable gap 0.0 s velocity s A/D position AD converter and Position sensor Ball damping Step K 0 Sum 3 z Unit Delay Scope s +80 s+000 Second Order Approximation Figure (5.): CE5 with third FBL and its approximated model. Deadbeat controller for magnetic ball levitation with feedback linearization will be found by evaluating deadbeat controller for its approximated model, thus deadbeat 000 controller will be found for s +80s+000 to follow reference signal 6 R(s)=. ( s 4) Converting the plant and reference signal from s-domain to q-domain with sampling time = 0. sec q (+0.766q) Pq ( ) (5.59) ( q) (-0.547q) q( q) Rq ( ) (5.60) ( q q ) nump =[ ], denp =[ ] Numerator and denominator of plat and reference signal in q-domain nump(q)= q * *q, denp=0.4493*q -.38*q (5.6) denr(q)= q -*q (5. 6) z-domain nump(z)= *z, denp= z+z (5.63) den -*z+z (5 R(z)=.64) Evaluating the minimum order for N and Q using equation (5.4) 5

64 Order of vector N and Q =max(, ) -= (5.65) Evaluating the minimum order for N and Q using equation (5.43) Order of vector N and D c=max(, ) -= (5.66) Defining two polynomials with order equal with unknown coefficients and making the first Diophantine equation using equation (5.44) and (5.45) N =a*q+b and Q = c*x+d where a, b, c, and d are unknowns (5.67) Substituting (5.6),(5.6) and (5.67) into (5.45) to make the first Diophantine equation First Diophantine equation (Np *N)+(Dr*Q)= (Np *N)+(Dr*Q)-=0 (0.096* q * q)(a*q+b) ( q -* q )(c*x+d) 0 3 (0.096 *a+c)*q ( * a 0.096* b * c d )* q ( * b c * d )* q ( d ) (5.68) Evaluating a, b, c, and d by making all coefficients of equation (5.68) equal zero to find all unknowns a, b, c and d using equations (5.47), (5.49) and (5.50) a b c d a b * c d N= q, Q= q Defining two polynomials with order equal with unknown coefficients and making the second Diophantine equation using equation (5.5) and (5.5) N =a*q+b and Dc = c*x+d where a, b, c, and d are unknowns (5.69) Substituting (5.6), and (5.69) into (5.5) to make the second Diophantine equation 5

65 Second Diophantine Equation (nump*n)+(denp*dc)= (nump*n)+(denp*dc)-=0 3 (0.096* a * c)* q (0.0387* a 0.096* b-.38* c * d )* q... ( * b c -.38* d )* q ( d ) (5.70) Evaluating a, b, c, and d by making all coefficients of equation (5.70) equal zero to find all unknowns a, b, c and d using equations (5.54), (5.56) and (5.57) a b c d a b * c d N= *q, Dc= *q N = q, N = *q, and D c= *q will be used in the following chapter to simulate the deadbeat controller for maglev CE5 with feedback linearization Converting real-time model from blocks to equations Ripple-free deadbeat controller with feedback linearization for real-time magnetic ball levitation shown in Fig.(4.) consists of two subsystems. The first subsystem is feedback linearization that consist of two transfer functions with sampling rate equal 0.00 sec and the second subsystem is deadbeat controller that consist of three transfer functions with sampling rate equal 0.0 sec. 0.5 Setpoint Offset Reference Signal In In 0.0 Out In In Out Analog Output Analog Output position -x Analog Input Figure (5.3): SIMULINK deadbeat controller for real-time maglev CE5 53

66 Converting real time model from blocks to equations is very important to program any circuit or controller on any programmable kit such as PIC, DSP, FPGA, and so on. Some programmable kits don t deal with floating points; thus, fractional number will be removed with small very affecting on its performance by multiplying the numerator and denominator of Transfer function by large number n before approximation, where n is positive integer number. n : multiplying binary number by n-times means shifting the binary number to the left n-bits First subsystem: feed-back linearization Feedback linearization, shown in Fig.(5.3) consists of three blocks: integrator, feedback transfer function, and saturation In In Out integrator Out Out Feed _Back In In 3 Figure (5.4): Feedback linearization for real-time maglev CE5 a) Integrator Integrator of feedback linearization can be converted to equation and re-drawn by SIMULINK model as shown in Fig.(5.5) OP z = (5.7) IN z - Multiplying numerator and denominator of equation (5.7) by 8 OP 8 z z = = IN 8 z z 8*OP = 8*IP+8*IP+8*OP (5.7) 54

67 8 In Gain z Unit Delay 8 Gain 8 Gain 3 /8 Gain z Unit Delay Out Figure (5.5): Integrator All gains in the previous figure are not fractional number except the output gain, => shifting the output binary number to the right 7 bits. 7 8 b) Feedback Transfer Function Feedback transfer function of feedback linearization can be converted to equation and re-drawn by SIMULINK model as shown in Fig.(5.6) OP z z IP z (5.73) Multiplying numerator and denominator of equation (5.73) by 8 OP z 889z (5.74) IP 8 8z 8 * OP = 056*IP - 394*IP + 889*IP+ 8* OP (5. 75) 056 In z Unit Delay 394 Gain Gain /8 Gain Out z Unit Delay 3 z Unit Delay 889 Gain4 8 Gain3 z Unit Delay Figure (5.6): Feedback transfer function All gains in the previous figure are not fractional number except the output gain, => shifting the output binary number to the right 7 bits

68 All blocks of Feedback linearization Feedback linearization of maglev CE5 can be re-drawn by SIMULINK model as shown in Fig.(5.6) In 8 Gain z Unit Delay 8 Gain 8 Gain 3 /8 Gain z Unit Delay Gain 5 /8 Gain Gain Unit Delay 5 z Out In 3 Unit Delay 4 z Gain 6 8 Gain Unit Delay 7Unit Delay 6 z z Figure (5.7): Feedback linearization of maglev CE5 Magnetic ball levitation CE5 worked successfully when applying the block diagram shown in Fig. (5.7); therefore, feedback linearization can be programmed on any programmable kit without using fractional number by programming the two equations (5.7) and (5.75). Second subsystem: Deadbeat controller Deadbeat controller consists of three blocks: N, N, and /D c. a) N N can be converted to equation and re-drawn by SIMULINK model as shown in Fig.(5.8) OP 6.50 z = (5.76) IN z Multiplying numerator and denominator of equation (5.76) by 8 OP z z = IN 8 z 8 8 * OP = 3347 * IP * IP (5.77) In 3347 Gain z Unit Delay 984 Gain /8 Gain Out Figure (5.8): N of deadbeat controller All gains in the previous figure are not fractional number except the output gain, => shifting the output binary number to the right 7 bits

69 b) N N can be converted to equation and re-drawn by SIMULINK model as shown in Fig.(5.9) OP z = (5.78) IP z Multiplying numerator and denominator of equation (5.78) by 8 OP 44 z z IP 8 z 8 8 * OP = 44 * IP - 09 * IP (5.79 ) In 44 Gain z Unit Delay 09 Gain /8 Gain Out Figure (5.9): N of deadbeat controller All gains in the previous figure are not fractional number except the output gain, => shifting the output binary number to the right 7 bits. 7 8 c) D c D c can be converted to equation and re-drawn by SIMULINK model as shown in Fig.(5.0) OP Z (5.80) IP Z Multiplying numerator and denominator of equation (5.80) by 8 OP 8 Z 8 IP 8 Z Z 8 * OP =8*IP - 77 * OP (5.8) 57

70 Gain 8 /8 In Gain Out z Unit Delay 77 Gain Figure (5.0): D c of deadbeat controller Deadbeat model Deadbeat controller of maglev CE5 can re-drawn by SIMULINK model as shown in Fig.(5.) In 3347 Gain z Unit Delay 984 Gain /8 Gain 8 Unit Delay Gain 7 77 z Out In 44 Gain 3 09 z Gain 4 Unit Delay Figure (5.): Deadbeat of maglev CE5 Therefore, deadbeat controller can be programmed on any programmable kit without using fractional number by programming the three equations (5.77),(5.79) and (5.80) 58

71 5.7. Writing VHDL code for deadbeat controller In this section, VHDL code for deadbeat controller shown in Fig. (5.) will be written using equations (5.77): 8 * OP = 3347 * IP * IP, (5.79): 8 * OP = 44 * IP - 09 * IP, and (5.8): 8 * OP =8*IP - 77 * OP. N Dc In FG 6.50 z z C Xdc z.0000 z Ydc Out D z z N In sen_x Figure (5.): Deadbeat of maglev CE5 There are two important steps after evaluating the equations to be programmed Determining number of bits required for registers and signals in VHDL language. Inputs and output are both 8 bits The largest constant number (3347) requires bits the summation of multiplication terms for equation (5.7) and (5.75) are 4+=6 terms bit for -ve or +ve sign and one more bit =8 bits will be used Converting constant numbers of equations to be programmed from decimal to binary using suitable number of bits. 8 bits (used for registers and signals)- 8 bits (used for input and output signals)=0 bits a3347 " "; a984 " "; a44 " "; (5.8) a09 " "; a8 " "; a77 " " You can see VHDL code for deadbeat controller in Appendix A 59

72 CHAPTER 6 SIMULATION AND REAL-TIME APPLICATION This chapter consists of five sections: the first section discusses evaluating new vector that can reduce infinity norm of control signal, -norm of error signal and overshoot for linear systems and linearized nonlinear systems. Second section discusses evaluating second order approximation of linearized magnetic ball levitation. Third section discusses evaluating multi-rate ripple free deadbeat control for SIMULINK model of magnetic ball levitation CE5. Fourth section discusses evaluating multi-rate ripple free deadbeat control for real-time magnetic ball levitation CE5 using data acquisition card MF64 and real-time toolbox in SIMULINK environment. Fifth section discusses simulation of VHDL code using Xilinx DSP toolbox 6.. Improving deadbeat controller for linear systems In this section, improving deadbeat controller for linear system by evaluating the best vector which can reduce the maximum absolute value of control signal (required energy), reduce overshoot, and reduce infinity norm and H-norm of the tracking error. Figure (6.): Deadbeat closed loop system Example: Given the system 00 Gc = exp(-0.*s) with time delay = 0. sec. We wish to track s - s + the sinusoid R(t) = sin(t+pi/5) and minimizes the infinity norm of control energy. Tracking model 00 Mc = s + 4 and the length of vector is 3 will be used. The continuous tracking model filter, is a requirement that ensures the exact tracking of the reference signal R(t) occurs with no ripple after the transient period[] 00 Mc = s + 4 is chosen since Laplace transform of sin (t) = s

73 If we choose the sampling time = 0. sec. then: The reference signal and plant in q-domain will be, q( q) R(q)= (6.) (. 96 q q ) q( 0.8 q)(.04 q)( q) P(q)= (6.) (.66q.8q )(.97q q ) Computing the minimum order of N and Q using Equation (5.4) Computing the minimum order solutions to the first Diophantine equations using equations (5.45) to (5.50), we obtain: N.49. q (6.3) Q.83q.q 0.085q 3. Computing the minimum order of N and D c using Equation (5.43) Computing the minimum order solutions to the second Diophantine equations using equations (5.5) to (5.58), we obtain: N q.97q 3.434q 3 Dc 3.577q.97q 0.746q (6.4) After that, Computing the transfer functions from output, control, and error signals versus reference signal using equations (5.3),(5,7), and (5.9) or using RFsys.m in Appendix B, we obtain: Ter 0.9q.378q 0.435q 0.999q 0.09q (6.5) Tur q.436q.4q 6.95q.357q (6. 6) Tyr 0.9q.378q 0.435q 0.999q q ( 6. 7) Note that: all Poles of transfer functions (6.5), (6.6) and (6.7) are at origin, due to the ripple free deadbeat property. Where all of them are polynomials with order equal 5, meaning that the system will settle down after 5 sampling times as shown in Fig. (6.) 6

74 (a) Error Signal (b) Control Signal (c) Response Figure (6.): Time response of minimum order solutions (a) Error signal (b) Control Signal (c) Response Fig. (6.) shows error, control, and output signals where all of them are polynomial with order equal 5 and since there exist time delay equal sampling times, they have settled after 7 sampling times with overshoot = 6.53 %, settling time = 0.7 sec, U = 6.65, E =.468, and E =3.645 Decreasing control signal by vector which was produced by Paz using MATLAB builtin function qp []. We obtain: V =[ ] Computing the transfer functions from output, control, and error signals versus reference signal using equations (5.3),(5,7), and (5.9) or using RFsys.m in Appendix B, we obtain: Ter=-0.035q-0.44q q q +0.06q +0.45q +0.33q +0.03q (6.8 ) Tue= q+.645q -0.65q +0.55q +0.4q.5q +.33q q.-(6. 9) 3 4 Tyr=0.035q+0.44q q q q q -0.33q q (6.0) Note that: all Poles of transfer functions (6.8), (6.9) and (6.0) are at origin, due to the ripple free deadbeat property. Where all of them are polynomials, meaning that the system will settle down after 8 sampling times as shown in Fig. (6.3) 6

75 (a) Error Signal (b) Control Signal (c) Response Figure (6.3): Time response of vector, which was produced by Paz. (a) Error signal (b) Control Signal (c) Response Fig. (6.3) shows error, control, and output signals where all of them are polynomial with order equal 8 and since there exist time delay equal sampling times, they have settled after 0 sampling times with overshoot = 40.05%, settling time = sec, U =.0443, E =.449, and E = Decreasing control signal by vector which was produced by first approach using equations (5.8), (5.9), and (5.0). We obtain: V=[ ]. Computing the transfer functions from output, control, and error signals versus reference signal using equations (5.3),(5,7), and (5.9) or using RFsys.m in Appendix B, we obtain: Ter=-0.037q-0.44q q q q +0.43q 0.33q +0.03q (6.) Tur= q+.796q -0.7q +0.48q -.366q +.68q q (6.) Tyr=0.037q+0.44q q q q -0.43q -0.33q -0.03q (6.3) Note that: all Poles of transfer functions (6.), (6.) and (6.3) are at origin, due to the ripple free deadbeat property. Where all of them are polynomials, meaning that the system will settle down after f sampling times as shown in Fig. (6.4) 63

76 (a) Error 0 Signal (b) Control 0 Signal (c) 0 Response Figure (6.4): Time response of vector, which was produced by first approach. (a) Error signal (b) Control Signal (c) Response Fig. (6.4) shows error, control, and output signals where all of them are polynomial with order equal 8 and since there exist time delay equal sampling times, they have settled after 0 sampling times with overshoot = 38.90%, settling time = sec, U =.86, E =.4448, and E = Decreasing control signal by using second approach using equations (5.5) to (5.35): We obtain: bestv=[ ]. Computing the transfer functions from output, control, and error signals versus reference signal using equations (5.3),(5,7), and (5.9) or using RFsys.m in Appendix B, we obtain: Ter=-0.035q-0.43q q -0.34q +0.35q q +0.34q +0.03q (6.4) Tur= q+.66q q -.53q +.55q -.77q +.39q q.--(6.5) Tyr=0.035q+0.43q q +0.34q -0.35q q -0.34q -0.03q (6.6) Note that: all Poles of transfer functions (6.4), (6.5) and (6.6) are at origin, due to the ripple free deadbeat property. Where all of them are polynomials, meaning that the system will settle down after f sampling times as shown in Fig. (6.5) 64

77 (a) Error signal (b) Control Signal (c) Response Figure (6.5): Time response of vector, which was produced by second approach. (a) Error signal (b) Control Signal (c) Response Fig. (6.5) shows error, control, and output signals where all of them are polynomial with order equal 8 and since there exist time delay equal sampling times, they have settled after 0 sampling times with overshoot = 35.79%, settling time = sec, U =.8764, E =.449, and E = Results of step responses to the system using Paz vector, First approach, and second approach are summarized in Table (6.) Table(6.): Comparison between Paz vector, first approach, second approach Vector Norm(u,inf) Norm(E,inf) Norm(E,) Overshoot Settling time Paz vector % sec First app % sec Second app % sec For the same settling time, The controller design based on Second approach have minimum infinity norm of control signal, minimum -norm, and minimum overshoot. Note that: Due to time delay which equal two sampling time, all systems have settled down after 7 sec. 65

78 6.. Magnetic ball levitation CE5 (nonlinear system) In this section, second order approximation of magnetic ball levitation CE5 will be computed after tacking two parameters rising time and overshoot. After that, parameters of feedback linearization and second order approximation will be modified to make the coefficient of second order approximation simple and just integer numbers. following the procedures covered in Chapter 4, Linearization for the magnetic ball levitation is obtained using feedback linearization as shown in Fig. (6.6) FeedBack Linearization Magnetic Ball Levitation CE5 Integrator Gain3 Step s K.835 Sum3 Add Zero-Order Hold D/A DA Converter Power amplifier and coil e-005 s+ Motion force *9.800 Gravity force Fc e-006 / (u )^ / /m variable gap 0.0 Ball damping s velocity s position A/D AD converter and Position sensor Scope K z Unit Delay Figure (6.6): Model of maglev CE5 with FBL. Finding the step response of magnetic Ball levitation with feedback linearization, Step Response Reference signal Time (sec) Figure (6.7): Step response of CE5 with FBL. Overshoot =.65%, rising time = 0.07 sec, settling time = 0. sec, undershoot =0% Fig. (6.7) will be zoomed in to find the exact rising time and overshoot as shown in Fig. (6.8) 66

79 Figure (6.8): Zoom on to find overshoot and rising time (a) overshoot (b) Rising time Obtaining the second order prototype based on the given specifications as covered in chapter two will yield: Ps ( ) (6.7) s s Step response of linearized magnetic ball levitation and its approximated model to ensure that they are approximately the same s Integrator Gain Add Zero -Order Hold K.835 D/A DA Converter Power amplifier and coil e-005 s+ Motion force *9.800 Gravity force Fc e-006 / (u )^ / /m variable gap 0.0 Ball damping s velocity s A/D position AD converter and Position sensor Step K Sum 3 z Unit Delay Scope s s Second Order Approximation Figure (6.9): CE5 with FBL and its second order approximation. 67

80 0.05 CE5 with FB Second Order App Figure (6.0): Step responses of CE5 with FBL and approximated model. Fig. (6.0) shows that: the step response of approximated model and linearized model are the same. The previous system has a very large overshoot, and deadbeat controller will contribute overshoot; moreover, it is not easy to deadbeat nonlinear system with very large overshoot; thus, another feedback linearization will be found as shown in Fig. (6.) Obtaining the second order prototype based on the given specifications as covered in chapter two will yield: 000 Ps ( ) (6.8) s +80s+000 s Integrator Gain 3 5 Add Zero -Order Hold K. D/A DA Converter Power amplifier and coil e-005 s+ Motion force *9.800 Gravity force Fc e-006 / (u )^ / /m variable gap 0.0 s velocity s A/D position AD converter and Position sensor Ball damping Step K 0 Sum 3 z Unit Delay Scope s +80 s+000 Second Order Approximation Figure (6.): CE5 with third FBL and its second order approximation. 68

81 CE5 with FB Second Order App Figure (6.): Step responses of CE5 with third FBL and approximated model. Fig. (6.) shows that the step response of linearized model and approximated model 000 are the same and they do not have overshoot; thus, the plant P(s)= will be s +80s+000 used to find deadbeat controller for linearized model of magnetic ball levitation. If the first approximated model shown in Fig. (6.6) is used to find the deadbeat controller for magnetic ball levitation, the response will have a very large overshoot in real-time application; moreover, it is not easy to control the nonlinear system with very large overshoot Deadbeat controller for magnetic ball levitation with feedback linearization In order to show the multi-rate system we select a sampling time for feedback linearization ms, and another sampling time for deadbeat controller 0 ms. 000 Let us consider the system s, which has obtained in the previous section. We +80s+000 wish to track the sinusoid r (t) =3* sin (t). Computing the minimum order solutions to the Diophantine equations systematically, following the procedure mentioned in section (5.5) we obtain N= q (6.9) Q= q, (6.0) N= *q, (6.) Dc= *q (6.) N, N, and D c will be applied to the magnetic ball levitation with feedback linearization as shown in Fig. (6.3) 69

82 Signal Generator 0.5 Constant Goto [FG] z-.00 z N s Integrator z z Dc.4633 z z N Gain 3 5 Add Goto Zero -Order Hold K. D/A DA Converter Power amplifier and coil e-005 s+ Motion force *9.800 Gravity force Fc e-006 / (u )^ / /m variable gap 0.0 s velocity s position A/D AD converter and Position sensor [withfb ] Ball damping Sum 4 0 K z Unit Delay s Integrator Gain 5 Add Zero -Order Hold K3. D/A DA Converter Power amplifier and coil e-005 s+ Gravity force Motion force *9.800 Fc e-006 / (u )^ / /m variable gap 0.0 s velocity s position A/D AD converter and Position sensor Ball damping Sum 6 0 K4 z Unit Delay From 3 [FG] [withdb ] From 4 From 6 [FG] [withfb ] From 5 From 7 [FG] From 0 [withfb ] [withdb ] From Goto 3 [withdb ] Scope Scope Scope 5 Figure (6.3): CE5 with FBL with/without deadbeat controller. 70

83 FG withdb Figure (6.4): Sinusoidal response of CE5 with FBL and with deadbeat controller. Fig.(6.5) shows the response of magnetic ball levitation with feedback linearization and with deadbeat controller, you can see that the response (dotted line) followed the reference signal (soled line) after finite time with steady state error equal zero. 0.7 FG 0.65 withfb Figure (6.5): Sinusoidal response of CE5 with FBL. Fig.(6.6) shows the response of magnetic ball levitation with feedback linearization without deadbeat controller, you can see that the response (dotted line followed the reference signal (soled line) with apparent steady state error FG withfb withdb Figure (6.6): Sinusoidal response of CE5 with FBL and with/without deadbeat controller 7

84 Fig.(6.7) shows the response of magnetic ball levitation with feedback linearization without deadbeat controller and response of magnetic ball levitation with feedback linearization with deadbeat controller. You can see that, the dotted line (Response of system with deadbeat Controller) can follow the solid line (Reference signal) better than the dashed line (Response of system without deadbeat Controller) Settling time when using deadbeat controller is smaller than settling time without using deadbeat controller Deadbeat controller for real-time maglev CE5 Second order approximation of real-time magnetic ball levitation CE 5 with feedback linearization shown in Fig. (6.8) will be found based on specifications of step response of real-time linearized model as covered in chapter two will yield: 37.3 Ps ( ) (6.3) s 55 s 37.3 Computing the minimum order solutions to the Diophantine equations systematically, following the procedure mentioned in section (5.5) we obtain N= q (6.4) Q= q (6.5) N= q (6.6) Dc= q (6.7) After modifications the previous parameters will be N= q (6.8) N=5( q)= q ( 6.9) Dc= q (6.30) Setpoint Offset 0.5 Reference Signal integrator z z- FB_TF z z z -z From 0 to 5 V Analog Output Analog Output position -x Analog Input Feedback Gain 3 0. present position Figure (6.7): FBL of real-time maglev CE5. 7

85 Figure (6.8): Response of real-time CE5 with FBL Fig. (6.9) shows that: the response of real-time magnetic ball levitation without deadbeat controller (dashed line) can follow reference signal (solid line) with large steady state error Applying N, N, and D c to the real-time magnetic ball levitation CE5 as shown in Fig. (6.0) Setpoint Offset N Dc integrator z z 0.065z Analog Output Reference Signal z z From 0 to V z- FB_TF z z z -z From 0 to 5 V Analog Output position -sensor Analog Input Feedback Gain z z 0. N present position Figure (6.9): Block diagram of deadbeat controller and FBL Figure (6.0): Step response of deadbeat controller with FBL for CE5 73

86 Figure (6.): Sinusoidal response of deadbeat controller with FBL for RT CE5 Fig. (6.) and (6.) shows the response of real-time magnetic ball levitation CE5 with feedback linearization and with deadbeat controller, you can see that the response (dashed line) followed the reference signal (soled line) after finite time with steady state error equal zero VHDL code of deadbeat controller for real-time magnetic ball levitation CE5 In this section, VHDL code of deadbeat controller for real-time magnetic ball levitation will be simulated and compared with original blocks which has already deadbeat the system, and the approximated model which take into consideration the quantization error, number of input bits, and number of output bits. DSP design tool from Xilinx will be used since this tool enables the use of the MathWorks SIMULINK environment for FPGA design. Using DSP design tool to generate VHDL code is not a good technique for multi blocks model; since, large VHDL code will be generated. Therefore, VHDL code will be written for deadbeat controller [8], compiled using ISE Design Suite 0., and simulated using Xilinx Black Box to upload the VHDL of deadbeat controller for magnetic ball levitation with feedback linearization The Xilinx DSP Block Set Over 90 DSP building blocks are provided in the Xilinx DSP blockset for SIMULINK. These blocks include the common DSP building blocks such as adders, multipliers and registers. In addition, the DSP tool included a set of complex DSP building blocks such as forward error correction blocks, FFTs, filters and memories [9]. Four blocks will be used in my project; these blocks will be described briefly: 74

87 Gateway in and Gateway out Gateway In and Gateway Out define the boundary of the FPGA from the SIMULINK simulation model. The Gateway In block converts the floating-point input to fixed-point. The Gateway out converts the FPGA outputs back to double precision block. Double-click on the block to bring up the properties editor. Properties for gateway in: Signed s compliment, Sample period =.00, Number of bits = 8, Binary point =0 Black box: Black box allows VHDL code to be imported into SIMULINK and co-simulated with either ModelSim or Xilinx ISE Simulator. VHDL code of deadbeat controller will be imported to this block and simulated. Properties of Black Box: Simulation mode: ISE simulator System generator Once the design is completed, hardware implementation files can be generated using the Generate button available on the System Generator properties editor and this block can be used to simulate the already written hardware implementation file. Properties of system generator: Compilation: HDL netlist Part: SPARTAN3A AND SPARTAN3AN XC3s700an -4 fg484 Hardware description language: HDL FPGA clock period (ns): 0 Clock pin location: E SIMULINK system Period(sec): Obstacles and solutions Many obstacles have been encountered and solved during writing and simulating VHDL code. Some of these obstacles will be discussed here: Any names for input and output ports can be used except the reserved names for VHDL commands. Thus, I chose Clock, CLK50, and many other names for FPGA clock. It s ok when you synthesize this code using ISE Design Suite, and when you simulate the VHDL code using ModelSim or Xilinx ISE Simulator, but it s not ok when you use DSP design tool on SIMULINK environment, since, you can t build a square wave for clock with T high or T low smaller than sampling time. After studying some VHDL code that simulated using DSP design tool on SIMULINK environment, I found that you should define two ports clk for clock and ce for counter enable, then these 75

88 ports will be hidden and the DSP design tool will take the clock period from FPGA clock period property in Properties of system generator. Any computational process will be registered in signal; Thus, number of bits for this signal should be determined depending on number of bits for coefficients, number of multiplication and summation terms, bit for negative and positive sign, and one margin bit as mentioned in section (5.9). Just summation of equally number of bits in VHDL code can be done z(n bits) = x(n bits) + y(n bits), the result number of bits for multiplying terms equal summation of number of bits for each term x(n bits)*y(n bits)*z(n 3 bits)=w((n + n + n ) bits) Designing Steps: Simulating VHDL code for constant input signal and comparing the results appeared on Display screens with original deadbeat controller will be done, then manual switch will be used to convert from constant input to sinusoid form with offset then simulating and comparing the results on different scopes will be done. VHDL code for deadbeat controller will be written using equations (5.77), (5.79),(5.8), and (5.83). VHDL code will be synthesized using ISE Design Suite. DSP design tool from Xilinx will be used to build the required block as shown in Fig. (6.6) to simulate VHDL code and compare it with original SIMULINK model for deadbeat controller. If the simulated results are not ok, the first two steps will be reused ADC Analog to digital converter as shown in Fig. (6.3) will be used to convert unlimited number of levels from input signal to limited number of levels. Eight bits will be used; one of them for negative and positive sign and seven bits for output level. Peak value of input signal =5 Volts; thus, saturation will be used to eliminate any input larger than 5 volts. A/D output gain will be used to convert the peak of input signal from 5 to 7 Rounding function will be used to convert the infinity number of levels to limited number of levels by removing the floating point. In Saturation From 0 to 5 V 7 /5 A/D output Gain round Rounding Function Out Figure (6.): Block diagram of analog to digital converter 76

89 6.0. Approximated model of deadbeat controller Comparing original deadbeat controller shown in Fig. (6.4) which uses unlimited number of levels for input signal and real number for coefficients with VHDL code that uses limited number of levels for input signal and just natural number for coefficients is not efficient. Thus, an approximated model for deadbeat controller will be made as shown in Fig. (6.5) using analog to digital converter shown in Fig. (6.3) and deadbeat controller shown in Fig. (5.) XDC FG 6.50 z z Discrete Transfer Fcn z z Discrete Transfer Fcn Y z z sen_x Discrete Transfer Fcn Figure (6.3): Block diagram of original deadbeat controller N Dc * = 5 5 FG InOut A/D 3347 Gain z Unit Delay 984 Gain /8 Gain 8 Unit Delay Gain 7 77 z From 0 to 5 V 5/7 D/A output Gain Y A/D sen_x 44 OutIn Gain 3 09 Gain 4 z Unit Delay N Figure (6.4): Block diagram of approximated model deadbeat controller 6.. Simulation of VHDL code Fig. (6.6) shows black box that imported VHDL code for deadbeat controller called VHDL-Code Dead-Beat Controller, subsystem contains deadbeat controller shown in Fig. (6.4) which called DB, and subsystem contains approximated model of deadbeat controller shown in Fig. (6.5) 77

90 FG and setpoint System Generator Constant Out Goto 6 [FG] Sign of XDC 0.5 setpoint.5 sen_x Out Manual Switch Manual Switch In Out A/D A/D InOut [sen_x] Goto 5 In Gateway In Gateway In In FG sen_x XDCsign XDC Y VHDL -Code Dead -Beat Controller Out Out Absulute value of XDC Out - Gain 5/7 D/A output Gain 5/7 Gateway Out D/A output Gain Dot Product XDC Y 0.55 [C] Goto Sen _X Apprpx. From 6 XDC sim From [FG] FG XDC [FG] FG [sen_x] From 7 sen_x DB Y Y sim [sen_x] From sen_x Approx. DB Y Approx Y [D] Goto 4 [E] Goto 3 From 4 From 8 From [C] [D] [C] [E] From 5 [E] Exact vs. FPGA Exact vs. Apprpx. From 9 [D] From 3 Approx. Vs FPGA Figure (6.5): Block diagram of subsystem Approx. DB, DB, Black box for VHDL Fig. (6.6) shows that the output of VHDL code almost equal the output of approximated model; thus, the VHDL code programmed successfully. Figure (6.6): Time response of VHDL code versus original controller Fig. (6.7) shows the response of VHDL code for deadbeat controller (dotted line) and step response of original deadbeat controller (solid line). 78

91 Figure (6.7): Time response original controller versus its approximated model Fig. (6.8) shows the response of an approximated model of deadbeat controller (dotted line) and step response of original deadbeat controller (solid line). Figure (6.8): Time response of VHDL code versus approximated model. Fig. (6.9) shows the response of an approximated model of deadbeat controller (dotted line) and step response of VHDL code for deadbeat controller (solid line). Note: ) The response of VHDL code exactly equals the response of approximated model, which means: deadbeat Controller is programmed successfully. ) The response of VHDL and approximated model are not exactly equal the response of original model of deadbeat Controller, since the function of deadbeat controller is to follow the signal as fast as possible which make an overshoot that directly proportional to the difference between present and wanted values which means it s directly proportional to the quantization error, So to decrease this chattering we need to use extra number of bits for input signal. 79

92 CHAPTER 7 CONCLUSION A new design methodology for ripple-free deadbeat control of nonlinear systems in discrete-time was proposed. This new control methodology combined two ripple-free deadbeat controllers to control nonlinear systems. The new control methodology guaranteed the robustness and handled multi-rate systems. The results shown that, the response of nonlinear system tracked the reference signal with zero steady state after very small rising time. This thesis minimized the settling time by using second order linear model to approximate the nonlinear system. The settling time depends on the length of three polynomials N, N, and D c. The length of these polynomials depends on the degree of numerator of plant, denominator of plant, and denominator of reference signal; thus, when the order of plant for linear systems and order of linearized plant for nonlinear systems greater than two, the second order approximation that was applied depended on the two dominant poles. This thesis proposed a hybrid two degree of freedom controller for the nonlinear optimization problem addressing performance and robustness specifications, utilizing the parameters of Diophantine equation to build a robust multi-rate ripple-free deadbeat control. A combination between the concept of multi-rate and robust single was proposed. The proposed controller was applied using SIMULINK model of magnetic ball levitation CE 5 as a case study for nonlinear systems, simulation results shown that the controller performed fine with simulated plant but gave harmonic signal. The proposed controller was also applied on real-time magnetic ball levitation CE 5 using real-time toolbox in MATLAB environment, the controller worked fine with real-time plant but still gave harmonic signal. Multi-rate ripple-free deadbeat control problem was evaluated by two steps: full state feedback which depended on (Time-domain approach) and Diophantine equations which depended on (Polynomial approach). State and output feedbacks were used to linearize and stabilize the nonlinear system and to make the response of the nonlinear system closely track the reference signal. The Diophantine equations which depend on internal model principle were utilized and applied to the linearized and stabilized nonlinear system to make the response of the system exactly equal the reference signal and provide some robustness. VHDL code for deadbeat control for magnetic ball levitation with feedback linearization was written and simulated using Xilinx toolbox and compared with the approximated model of SIMULINK original blocks. 80

93 Simulation and real-time results showed that the output signal exactly tracked the input sinusoidal signal in short settling time. The time domain specification for the output signal, control signal, and error signal were computed and satisfied the requirement and constraints. A time delay was also presented with simulation and was solved by using deadbeat controller based on solving Diophantine equation parameters. Future research can used to deadbeat the nonlinear system using another linearization technique. Moreover, the effect of the noise and output disturbances on the system can be studied; the effect of changing working points, changing the sampling time, and changing the frequency of input signal can be also studied. 8

94 REFERENCES [] Hyo-Sung Ahn, Yang Quan Chen, and Kevin L. Moore (007), Iterative Learning Control: Brief Survey and Categorization, IEEE Systems, Man, and Cybernetics Society, VOL. 37, NO. 6. [] Finn Orfano, Why are Control Systems Used?, Edited & published by Rebecca Scudder on May 5, 00, accessed on May 8, 0, online: [3] Wikipedia, the free encyclopedia (modified on 6 April 0 at 5:4), Digital control, accessed on May 8, 0, online: [4] Dragan Nešić, (996) Dead-Beat Control for Polynomial Systems, PhD thesis, Australian National University. [5] Dictionary and Encyclopedia Directory, Control system Definition, accessed on May 8, 0, online: [6] B. C. Kou and F. Golnaraghi (003), Automation Control Systems, Wiley, Hoboken. [7] Tou J.T. (959), Digital and Sampled-Data Control Systems. McGraw-Hill, New York, NY. [8] H. Elaydi and R. A. Paz (998), Optimal Ripple-Free Deadbeat Controllers for Systems with Time Delays, Proceedings of the American Control Conference, Philadelphia, USA. [9] L. Jetto and S. Longhi (00), Parameterized solution of the deadbeat ripple-free control problem for multirate esampled-data systems, Proceedings of the 38th IEEE Conference on Decision & Control, Phoenix, Arizona. 8

95 [0] H. Ito, (00), Improving performance of deadbeat servomechanism by means of multirate input control, Thesis, Kyushu Institute of Technology, Japan, Accessed on Nov., 008, online: [] R. A. Paz, (006), Ripple-free tracking with robustness, International Journal of control, Vol. 79, No. 6. [] M. E. Salgado and D. A. Oyarzun (007), Two objective optimal multivariable ripplefree deadbeat control, University Tecnica Federico Santa MariaValparaiso, Chile, Accessed on April, 009, online: [3] Fadi M. Albatsh (009), Multirate Ripple-Free Deadbeat Control, Master thesis, Islamic University of Gaza. [4] Wikipedia, the free encyclopedia (modified on 6 May 0 at 06:), Linear systems, accessed on May 8, 0, online: [5] Wikibooks, open books for an open world, Control Systems/State-Space Equations, accessed on May 9, 0, online: Space_Equations [6] Wikipedia, the free encyclopedia (modified on 3 May 0 at :3), State space (controls), accessed on May 9, 0, online: [7] Wikipedia, the free encyclopedia(modified on 9 April 0 at :.), Nonlinear system, accessed on May 9, 0, online: [8] J. K. Hedrick and A. Girard (005), Control of Nonlinear Dynamic Systems: Theory and Applications, Chapter 8, and accessed on May 9, 0, online: [9] H. Khalil, Nonlinear Systems, 3rd Edition, Prentice-Hall, Englewood Cliffs, NJ. [0] Wikipedia, the free encyclopedia (modified on 3 May 0 at 07:5), Scientific Techniques of Levitation, accessed on May 9, 0, online: 83

96 [] Marcio S. de Queiroz and Darren M. Dawson (996), " Nonlinear Control of Active Magnetic Bearings: A Backstepping Approach," IEEE transactions on control system technology, Vol. 4, NO 5. [] HUMUSOFT, ( ), CE 5 Magnetic Levitation Model, Technical Manual, Czech Republic. [3] F. Gazdoš, P. Dostál, and R. Pelikán (009), Polynomial approach to control system design for a magnetic levitation system, Cybernetic Letters: Informatics, Cybernetics, and Robotics, PP. -9, [4] Magnetic Levitation Model (CE 5), Advanced-models-laboratory, laboratories VSB - Technical University of Ostrava, accessed on May 9, 0, online: [5] Khalid Abdelhafiz Ali, Mohammed Abdelati, Mohammed Hussein (Jan.00), Modelling, Identification and Control of A "Magnetic Levitation CE5", Al-Aqsa University Journal (Natural Sciences Series), Vol.4, No., PP [6] Wikipedia (modified on 8 April 0 at 6:9.), the free encyclopedia, Feedback linearization, accessed on May 9, 0, online: [7] J F Coales (957), An introduction to the study of non-linear control systems, Journal of Scientific Instruments Vol. 34 No.. [8] Pong P. Chu (008), FPGA Prototyping By VHDL Examples, Wiley-Interscience XILINX SPARTAN-3 version, [9] Xilinx (December 4, 00), System Generator for DSP, UG639 (v.4) access on May 9, 0, online: 84

97 Appendix A VHDL code for deadbeat controller library IEEE; use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_SIGNED.ALL; -- change unsigned to signed Define input/output ports The name of port for FPGA's internal clock should be 'clk' to be used in simulation -- You should define ce 'clock enable'. entity DeadBeatXilinx is Port (clk : in STD_LOGIC; ce : in STD_LOGIC; FG : in STD_LOGIC_VECTOR (7 downto 0); sen_x : in STD_LOGIC_VECTOR (7 downto 0); XDCsign : out STD_LOGIC; XDC : out STD_LOGIC_VECTOR (7 downto 0); Y : out STD_LOGIC_VECTOR (7 downto 0) ); end DeadBeatXilinx; architecture Behavioral of DeadBeatXilinx is Define signals signal sen_x_buffer : STD_LOGIC_VECTOR (7 downto 0):=(others=>'0'); signal sen_x_buffer : STD_LOGIC_VECTOR (7 downto 0):=(others=>'0'); signal FG_buffer : STD_LOGIC_VECTOR (7 downto 0):=(others=>'0'); signal FG_buffer : STD_LOGIC_VECTOR (7 downto 0):=(others=>'0'); signal Y_buffer : STD_LOGIC_VECTOR (7 downto 0):=(others=>'0'); signal counter : STD_LOGIC_VECTOR (4 downto 0):=(others=>'0'); signal counterclk50 : STD_LOGIC_VECTOR (7 downto 0):=(others=>'0'); signal XDC_buffer : STD_LOGIC_VECTOR (0 downto 0):=(others=>'0'); signal XDC_buffer : STD_LOGIC_VECTOR (8 downto 0):=(others=>'0'); signal XDC_buffer3 : STD_LOGIC_VECTOR (0 downto 0):=(others=>'0'); signal XDC_buffer_sign : STD_LOGIC_VECTOR (8 downto 0):=(others=>'0'); signal YDC_buffer : STD_LOGIC_VECTOR (0 downto 0):=(others=>'0'); signal YDC_buffer : STD_LOGIC_VECTOR (7 downto 0):=(others=>'0'); Define constants the binary number will be -ve when it's decimal larger than *7*8/5=656=" " -- begin Start Process process (clk,ce,fg,sen_x) Define constants constant a3347 : STD_LOGIC_VECTOR ( downto 0):=" "; constant a984 : STD_LOGIC_VECTOR ( downto 0):=" "; constant a44 : STD_LOGIC_VECTOR ( downto 0):=" "; constant a09 : STD_LOGIC_VECTOR ( downto 0):=" "; constant a8 : STD_LOGIC_VECTOR ( downto 0):=" "; constant a77 : STD_LOGIC_VECTOR ( downto 0):=" "; 85

98 begin if (ce='' and rising_edge(clk)) then -- CLK50 = 50 MHz=> to find ms sampling time we need -- m*50m=50,000 samples, 50000=" " -- comment the following three lines for simulation and don't forget to comment last 'end if' -- counterclk50<=counterclk50+; -- if counterclk50 = " " then -- 50,000 cloks => ms -- counterclk50<=(others=>'0'); sen_x_buffer<=sen_x; FG_buffer<=FG; counter<=counter+; if counter="000" then counter<=(others=>'0'); XDC_buffer<=a3347*FG_buffer-a984*FG_buffera44*sen_X_buffer+a09*sen_X_buffer; XDC_buffer3<=XDC_buffer; sen_x_buffer<=sen_x_buffer; FG_buffer<=FG_buffer; end if; if XDC_buffer(4)='' then XDC_buffer(7 downto 0)<=XDC_buffer(4 downto 7); XDC_buffer_sign<=" "-XDC_buffer; XDC<=XDC_buffer_sign(7 downto 0); XDCsign<=''; Y_buffer<=(others=>'0'); YDC_buffer<=(others=>'0'); else XDC<=XDC_buffer(4 downto 7); XDCsign<='0'; YDC_buffer<=XDC_buffer3-a77*YDC_buffer; -- Apply Saturation ( *7/5=50.8 ~= 5 = "0000") if XDC_buffer3(4)='' then Y_buffer<=(others=>'0'); YDC_buffer<=(others=>'0'); elsif YDC_buffer(4 downto 7)>"0000" then Y_buffer<="0000"; YDC_buffer<="0000"; else Y_buffer<=YDC_buffer(4 downto 7); YDC_buffer<=YDC_buffer(4 downto 7); end if; if Y_buffer(7)='' then Y<=(others=>'0'); else Y<=Y_buffer; end if; end if; end if; --end if; end process; end Behavioral; 86

99 Appendix B MATLAB Code «Main code» clear all; close all; clc T=.0833; % Sampling time td=.3; % Time delay Amplitude=3; % Amplitude of Reference Signal Omega=; % radian freq. of Reference Signal PhaseRad=pi/; % phase shift in radian Tfinal=0; % final simulation time Tfinal=round(Tfinal/T)*T; Mc=tf(00,[ 0 4]); % Model Filter L=3; % Length of vector V Gc=tf(00,[ - ],'iodelay',td); % P_q=SQ(Mc*Gc,T); % plant [nump denp]=tfdata(p_q,'v'); denp=removezeros(denp); OrderP=length(denp)-; % % Reference signal t=sym('t'); Rt=Amplitude*sin(Omega*t); Rc=laplace(Rt); Rc=syms(Rc); R_q=SQ(Rc,T); % Reference signal in q-domain % % compute minimum order of NQ and NDc then find N, Q, N, and Dc [NQ_Order NDc_Order]=Mini_Order(P_q,R_q); [N_q Q_q N_q Dc_q]=NQNDC(R_q,P_q,NQ_Order,NDc_Order); N_q_NC=N_q; N_q_NC=N_q; Q_q_NC=Q_q; Dc_q_NC=Dc_q; % % check if the Diophantine equations are OK or not [DC DC]=DioCheck(N_q,N_q,Q_q,Dc_q,R_q,P_q); % % construct the system [sys Ter Tur Tyr]=RFsys(N_q,N_q,Dc_q,P_q); % % Generate The Time Domain input signal [u,t] =Generate_Signal('sin',Amplitude,Omega,PhaseRad,Tfinal,T); % % Minimzing Control signal [x Nnew]=performance(N_q,P_q,R_q,Gc,L); N_q=tf(Nnew,,T,'variable','q'); [sys Ter Tur Tyr]=RFsys(N_q,N_q,Dc_q,P_q); C=lsim(Tyr,u,t); CC=norm(C,inf); C=lsim(Tur,u,t); Normx=norm(C,inf); C=lsim(Ter,u,t); Errorx=norm(C(OrderP-:end),inf); % Minimizing control signal by my FIRST method [V N_new]=findV(N_q_NC,R_q,L); [sys3 Ter3 Tur3 Tyr3]=RFsys(N_new,N_q,Dc_q,P_q); % Minimizing control signal by my Combined method [bestv N_new]=bestV(N_q_NC,N_q,Dc_q,P_q,R_q,x,V,NormV,Normx,u,t) [sys4 Ter4 Tur4 Tyr4]=RFsys(N_new,N_q,Dc_q,P_q); This code calls many functions, all of them is placed in Thesis CD 87

100 Best vector to minimize control signal function [VVV N_new]=bestV(N_q_NC,N_q,Dc_q,P_q,R_q,x,V,NormV,Normx,u,t) % This function has been built by Mohammed T. A. Elamassie % This function will find new vector istead of V to minimize the control % signla, this vector is compination vector between vector x which found by % quadratic approach and V which I found by my method dif=0; L=length(x); [numn denn T]=tfdata(N_q_NC,'v'); numn=numn(end:-:); [numr denr]=tfdata(r_q,'v'); denr=denr(end:-:); N_sym=polysym(numN); Dr_sym=polysym(denr); KK=0; xx=x; previous_norm=min(normv,normx); for i=:l x(i)=v(i); V_sym=polysym(x); N_new=sympoly(collect(N_sym-Dr_sym*V_sym)); N_new=N_new(end:-:); N_new=tf(N_new,,T,'variable','q'); [sys3 Ter3 Tur3 Tyr3]=RFsys(N_new,N_q,Dc_q,P_q); C=lsim(Tur3,u,t); NormV=norm(C,inf); if NormV<previous_Norm KK=KK+; VVV=x; previous_norm=normv; end x=xx; end if KK==0 if NormV<Normx VVV=V; else VVV=x; end end if KK>0 dif=x-vvv; end 88

101 if sum(abs(dif))~=0 for jj=:0 VVV=VVV-dif; V_sym=polysym(VVV); N_new=sympoly(collect(N_sym-Dr_sym*V_sym)); N_new=N_new(end:-:); N_new=tf(N_new,,T,'variable','q'); [sys3 Ter3 Tur3 Tyr3]=RFsys(N_new,N_q,Dc_q,P_q); C=lsim(Tur3,u,t); NormV=norm(C,inf); if NormV<previous_Norm previous_norm=normv; else VVV=VVV+dif; break; end end end V_sym=polysym(VVV); N_new=sympoly(collect(N_sym-Dr_sym*V_sym)); N_new=N_new(end:-:); N_new=tf(N_new,,T,'variable','q'); 89

102 Connecting subsystems together function [sys Ter Tur Tyr]=RFsys(N,N,Dc,P) % this function has been built by mohammed T. A. Elamassie % this function will connect the subsystems to construct the following % system % > U O.P # % % RN E U % R---->[ N ]----->+O------>[/Dc ] [ P ] > y O.P #3 % - % YN % [ N ]< % - % >+O-->E O.P # D=/Dc; %Defining input and output for each block N.InputName='R'; N.OutputName='RN'; D.InputName='E'; D.OutputName='U'; P.InputName='U'; P.OutputName='Y'; N.InputName='Y'; N.OutputName='YN'; % Defining summation as block,its inputs, and its output Sum = tf([,-],'inputname',{'rn','yn'},'outputname','e'); Sum = tf([,-],'inputname',{'r','y'},'outputname','e'); % Construct the system sys = connect(n,n,p,d,sum,sum,'r',{'e','u','y'}); [num den T]=tfdata(sys,'v'); % Transfer function between Error and Reference nume=round(num{}*000)/000; dene=round(den{}*000)/000; Ter=tf(nume,dene,T,'variable','z'); % Transfer function between control signal and reference numu=round(num{}*000)/000; denu=round(den{}*000)/000; Tur=tf(numu,denu,T,'variable','z'); % Transfer function between output and reference numr=round(num{3}*000)/000; denr=round(den{3}*000)/000; Tyr=tf(numr,denr,T,'variable','z'); 90

103 Appendix C Graphical User Interface (GUI) Evaluate parameters of Diohantine equation for a given system, minimize infinity norm of control signal, and plot the signals 9

104 Mass spring system over moving belt as an example of following sinusoid signal 9

ABU DHABI EDUCATION COUNCIL Abu Dhabi Education Zone AL Mountaha Secondary School g-12 science section Mathematics Student Name:.. Section: How Long i

ABU DHABI EDUCATION COUNCIL Abu Dhabi Education Zone AL Mountaha Secondary School g-12 science section Mathematics Student Name:.. Section: How Long i ABU DHABI EDUCATION COUNCIL Abu Dhabi Education Zone AL Mountaha Secondary School g-12 science section Mathematics Student Name:.. Section: How Long is the Average Chord of a Circle?/ 2009-2010 Second

المزيد من المعلومات

Slide 1

Slide 1 Correlation and Regression اإلرتباط واإلنحدار Correlation اإلرتباط - Describes the relationship between two (X & Y) variables يوضح العالقة بين متغيرين )Y, X( - One variable is called independent (X) and

المزيد من المعلومات

ماجستيرالعلوم في الرياضيات يحتوي على ثالث مسارات تخصصية : الرياضيات البحتة الرياضيات التطبيقية اإلحصاء الكلية : كلية العلوم بالدمام. احلرم اجلامعي : ا

ماجستيرالعلوم في الرياضيات يحتوي على ثالث مسارات تخصصية : الرياضيات البحتة الرياضيات التطبيقية اإلحصاء الكلية : كلية العلوم بالدمام. احلرم اجلامعي : ا ماجستيرالعلوم في الرياضيات يحتوي على ثالث مسارات تخصصية : الرياضيات البحتة الرياضيات التطبيقية اإلحصاء الكلية : كلية العلوم بالدمام. احلرم اجلامعي : الدمام القسم : قسم الرياضيات املسار : العلمي و اإلداري

المزيد من المعلومات

جامعة جدارا Jadara University كلية: الدراسات التربوية

جامعة جدارا   Jadara University كلية: الدراسات التربوية Jadara University جامعة جدا ار College: Educational Studies كمية: الد ارسات التربوية اثر حجم العينة وأسموب اختيارها في الخصائص السيكومترية لممقاييس النفسية The Effect Of Sample Size And It's Selection

المزيد من المعلومات

Determinants

Determinants قسم الهندسة الزراعية د/ خالد ف ارن طاهر الباجورى استاذ الهندسة الز ارعية المساعد khaledelbagoury@yahoo.com Mobil: 01222430907 المقدمة ماهي المصفوفة جمع الضرب الكمي للمصفوفات ضرب منقول المصفوفة محدد المصفوفة

المزيد من المعلومات

مختبر البرمجة والتحليل العددي قسم علوم الجو جمل التحكم والشرط والتكرار المرحلة الثانية PROGRAM CONTROL, CONDITION AND LOOP STATEMENTS الجمل الشرطية :-

مختبر البرمجة والتحليل العددي قسم علوم الجو جمل التحكم والشرط والتكرار المرحلة الثانية PROGRAM CONTROL, CONDITION AND LOOP STATEMENTS الجمل الشرطية :- جمل التحكم والشرط والتكرار PROGRAM CONTROL, CONDITION AND LOOP STATEMENTS الجمل الشرطية :- تقسم جمل الشرط الى نوعين وهي :- -1 جملة اذا الشرطية ) statement ( if -2 جملة التوزيع ) case ( switch -1 جملة اذا

المزيد من المعلومات

AlZuhour Private School مدرسة الزهور الخاصة Term 1 Plan Subject Arabic Grade 2 Term 1 Contents ( كتاب الطالب ) الوحدة األولى :) صح تك بين يد

AlZuhour Private School مدرسة الزهور الخاصة Term 1 Plan Subject Arabic Grade 2 Term 1 Contents ( كتاب الطالب ) الوحدة األولى :) صح تك بين يد Term 1 Plan 2018-2019 Subject Arabic Grade 2 Term 1 Contents ( كتاب الطالب ) الوحدة األولى :) صح تك بين يديك( -- قصة مسعودة السلحفاة النص املعلوماتي : السلحفاة )الربط: بالعلوم( - النحو والكتابة : -االسم

المزيد من المعلومات

دائرة اللغة العربية المادة المطلوبة المتحان اإلعادة للعام الدراسي : الصف: الثامن المهارة )الفهم واالستيعاب + التحليل األدبي( النحو المادة ال

دائرة اللغة العربية المادة المطلوبة المتحان اإلعادة للعام الدراسي : الصف: الثامن المهارة )الفهم واالستيعاب + التحليل األدبي( النحو المادة ال دائرة اللغة العربية المادة المطلوبة المتحان اإلعادة للعام الدراسي : الصف: الثامن 2018-2017 المهارة )الفهم واالستيعاب + التحليل األدبي( النحو المادة المطلوبة القراءة: درس احترام النظام )الجزء األول(+ درس

المزيد من المعلومات

Schedule Planner User Guide Target Audience: Students This tool can help you better plan your course schedule by generating a visual representation of

Schedule Planner User Guide Target Audience: Students This tool can help you better plan your course schedule by generating a visual representation of Schedule Planner User Guide Target Audience: Students This tool can help you better plan your course schedule by generating a visual representation of possible schedules with no time conflict. Getting

المزيد من المعلومات

افتتاحية العدد

افتتاحية العدد 99 حوليات آداب عني مشس اجمللد 93 )يناير مارس 1122( مجال حممد مقابلة A Study of the Term Al Rawnak in Ancient Arab Criticism Gamal Mohamed Mokabla Abstract This paper aims to study the term Al Rawnak, a

المزيد من المعلومات

R.A.K Chamber of Commerce & Industry Studies & Commercial Cooperation Directorate Economic Studies Section 5510 /50/11 غرفة تجارة وصناعة رأس الخيمة إد

R.A.K Chamber of Commerce & Industry Studies & Commercial Cooperation Directorate Economic Studies Section 5510 /50/11 غرفة تجارة وصناعة رأس الخيمة إد 5510 /50/11 أداء شركات رأس الخيمة المساهمة بسوق أبوظبي لألوراق المالية لعام 4102 بلغ عدد شركات رأس الخيمة المدرجة في سوق أبوظبي لألوراق المالية 11 شركة مساهمة من أصل 87 شركة مساهمة في السوق لعام 5512 حيث

المزيد من المعلومات

Oligopoly

Oligopoly OLIGOPOLY JUC مالحظة : الملخص جهد شخصي الجامعة غير مسؤلة عنه, المدونة : https://somenote8.wordpress.com/ احتكار القله OLIGOPOLY بين االحتكار والمنافسة الكاملة BETWEEN MONOPOLY AND PERFECT COMPETITION

المزيد من المعلومات

Department of Computer Information Systems

Department of Computer Information Systems Departmet of Computer Iformatio Systems CIS : Itroductio to Iformatio Techology Topic 5 Numberig Systems (Exteral Material) Chapter Outlie Numberig Systems Coversio Betwee Systems ملخص الفصل أنظمة الا

المزيد من المعلومات

Banner – Hold Information SOAHOLD

Banner – Hold Information SOAHOLD 1 Financial Aid System Documentation - eservice E-serviceخطوات التقديم لنظام المساعدات عبر ال 2 خطوات التقديم لنظام المساعدات Steps to apply for financial aid 1 Login to the portal http://my.uaeu.ac.ae

المزيد من المعلومات

PowerPoint Presentation

PowerPoint Presentation API/iAPI Transmitting Challenges صعوبات وتحديات تطبيق برنامج تبادل المعلومات المسبقة للمسافرين Ali Al-athbi Qatar Civil Aviation Authority علي طالب العذبي الهيئة العامة للطيران قطر دولة المدني/ ICAO TRIP:

المزيد من المعلومات

دور ا ا ا ا ى ا ب ا رس ا ر م د إ ا أ أ در ن ا - ا دان ا ذ ا ا ر أ ا

دور ا ا ا ا ى ا ب ا رس ا ر م د إ ا أ أ در ن ا - ا دان ا ذ ا ا ر أ ا دور ا ا ا ا ى ا ب ا رس ا ر م د إ ا أ أ در ن ا - ا دان ا ذ ا ا ر أ ا (١٧٠)... دور ا ا ا ا ى ا ب دور ا ا ا ا ى ا ب...( ١٧١ ) دور ا ا ا ا ى ا ب ا رس ا ر م د إ ا أ ا ذ ا ا ر أ ا أ در ن ا - ا دان ا ا ول ا اءات

المزيد من المعلومات

(141) Ziyara [119] of lady Fatima al-ma ssooma (s) Peace be upon Adam, the choice of peace be upon Noah, the prophet of peace be upon Ibraheem (Abraham), the friend of peace be upon Musa (Moses), the speaker

المزيد من المعلومات

R.A.K Chamber of Commerce & Industry Studies & Commercial Cooperation Directorate Economic Studies Section 0802 /80/80 غرفة تجارة وصناعة رأس الخيمة إد

R.A.K Chamber of Commerce & Industry Studies & Commercial Cooperation Directorate Economic Studies Section 0802 /80/80 غرفة تجارة وصناعة رأس الخيمة إد 0802 /80/80 أداء شركات رأس الخيمة المساهمة بسوق أبوظبي لألوراق المالية لعام 5102 بلغ عدد شركات رأس الخيمة المدرجة في سوق أبوظبي لألوراق المالية 01 شركة مساهمة من أصل 77 شركة مساهمة في السوق لعام 0802 حيث

المزيد من المعلومات

جملة ميالف للبحوث والدراسات ISSN : اجمللد 4 العدد / 1 الشهر والسنة Mila Univ center. Publish. Co.. The impact of electronic management to bu

جملة ميالف للبحوث والدراسات ISSN : اجمللد 4 العدد / 1 الشهر والسنة Mila Univ center. Publish. Co.. The impact of electronic management to bu جملة ميالف للبحوث والدراسات ISSN : 3223-1235 اجمللد 4 العدد / 1 الشهر والسنة Mila Univ center. Publish. Co.. The impact of electronic management to build structural capital of banks in Algeria - A case

المزيد من المعلومات

األستاذ عمر صمادي/ ماجستير لغة انجليزية عمان // Guided writing الكتابة الموجهة * هناك العديد من نماذج الكتابة الموجهه و سنلخصها هنا و يجب ع

األستاذ عمر صمادي/ ماجستير لغة انجليزية عمان // Guided writing الكتابة الموجهة * هناك العديد من نماذج الكتابة الموجهه و سنلخصها هنا و يجب ع Guided writing الكتابة الموجهة * هناك العديد من نماذج الكتابة الموجهه و سنلخصها هنا و يجب على الطالب ان يتبع الخطوات التي و ذلك حسب العنوان حتى نحصل على العالمة كاملة باذن هللا. How to communicate effectively

المزيد من المعلومات

افتتاحية العدد

افتتاحية العدد اخلصائص الفنية لكتاب الرسائل يف القرن العشرين 412 حوليات آداب عني مشس - اجمللد 34 )يوليو سبتمرب )5102 Technical Characteristics of Letter Writers in the Twentieth Century Mohame d Gouda Abstract This research

المزيد من المعلومات

افتتاحية العدد

افتتاحية العدد موقف جامعة الدولة العربية من عملية السالم املصرية اإلسرائيلية - 791 حوليات آداب عني مشس - اجمللد 97 )يناير مارس 77( ثريا حامد الدمنهوري The Reaction of the League of Arab States towards the Egyptian-Israeli

المزيد من المعلومات

عربي Sign-Code Website Guide فيما يلي دلي ا ل لطلب اسم مستخدم جديد لConnect Sell من خ لل موقع.Sign-Code يسمح لك الموقع بالدخول اإلداري على اسم المستخد

عربي Sign-Code Website Guide فيما يلي دلي ا ل لطلب اسم مستخدم جديد لConnect Sell من خ لل موقع.Sign-Code يسمح لك الموقع بالدخول اإلداري على اسم المستخد عربي فيما يلي دلي ا ل لطلب اسم مستخدم جديد لConnect Sell من خ لل موقع.Sign-Code يسمح لك الموقع بالدخول اإلداري على اسم المستخدم الخاص بك لID Office من خ لل طلب مستخدمين جدد وتحديثها وحتى حذفها:.1 ستتلقى

المزيد من المعلومات

د. ط در ءة ز ا ت ا دزة (درا ا ا ت) د. ط در را ر ا م م ا ا ا : ا ت ا ا ا م وا ا ي و إ ى ا ت ا ا ا دو إ و دة ا و أ اد ا. و ف ا ا إ وا ا ت ا دزة م ا أ ا

د. ط در ءة ز ا ت ا دزة (درا ا ا ت) د. ط در را ر ا م م ا ا ا : ا ت ا ا ا م وا ا ي و إ ى ا ت ا ا ا دو إ و دة ا و أ اد ا. و ف ا ا إ وا ا ت ا دزة م ا أ ا ءة ز ا ت ا دزة (درا ا ا ت) را ر ا م م ا ا ا : ا ت ا ا ا م وا ا ي و إ ى ا ت ا ا ا دو إ و دة ا و أ اد ا. و ف ا ا إ وا ا ت ا دزة م ا أ ا و ت وا ت ا دة أ ا ذ ا ا وا اءات ا ور ا و ن ا ءة و ا م ت ا. ا ا : ا

المزيد من المعلومات

إيناس السيد محمد الشعراوى أستاذ مساعد قسم الحاسب كلية التربية - الجبيل المعلومات الشخصية الجنسية : مصرية تاريخ الميالد / 11 / م القسم علوم الحاس

إيناس السيد محمد الشعراوى أستاذ مساعد قسم الحاسب كلية التربية - الجبيل المعلومات الشخصية الجنسية : مصرية تاريخ الميالد / 11 / م القسم علوم الحاس إيناس السيد محمد الشعراوى أستاذ مساعد قسم الحاسب كلية التربية - الجبيل المعلومات الشخصية الجنسية : مصرية تاريخ الميالد 3 984/ / م القسم علوم الحاسب اآللى البريد الجامعي الرسمي eeelsharawy@iau.edu.sa الهاتف

المزيد من المعلومات

افتتاحية العدد

افتتاحية العدد أطر املعاجلة االعالمية لسياسات الرئيس االمريكى باراك اوباما دراسة مقارنة بني قناتني اجلزيرة واحلرة 7 framing analysis حوليات آداب عني مشس - اجمللد )ابريل يونيو ( فاطمة الزهراء Framing analysis for policies

المزيد من المعلومات

Certified Facility Management Professional WHO SHOULD ATTEND? As a Certified Facility Management Professional course, Muhtarif is the ideal next step

Certified Facility Management Professional WHO SHOULD ATTEND? As a Certified Facility Management Professional course, Muhtarif is the ideal next step Certified Facility Management Professional WHO SHOULD ATTEND? As a Certified Facility Management Professional course, Muhtarif is the ideal next step for all those who have completed the Ta aseesy Foundation

المزيد من المعلومات

Microsoft Word - Grade 9 T3 ADEC Exam revision questions

Microsoft Word - Grade 9 T3 ADEC Exam revision questions Name: School: Class: G9 Practice Questions Revision for ADEC T3 Mathematics Exam 4/25/2011 Produced at Tahnoon School, Al Ain Students are expected to use their knowledge and understanding of the content

المزيد من المعلومات

خطـــــة المركــــــز التدريبيـــــة خلال شهر كانون ثاني من عام 2004

خطـــــة المركــــــز التدريبيـــــة خلال شهر كانون ثاني من عام 2004 10 / 10 / 1 2 6/20 0 6 FRM-TC-T-01-01 تموز )7( التقييم العقاري Real Estate Appraisal 19/08/2019 /07/2019 Project Management - PMP Course 22/08/2019 31/07/2019 تصميم الشآت الخرسانية Concrete Structure Design

المزيد من المعلومات

نموذج سيرة ذاتية لعضو هيئة التدريس

نموذج سيرة ذاتية لعضو هيئة التدريس سيرة ذاية لعضو هيئة الدريس الرقم الوظيفي د. زكريا يحيى محمد أوال : البيانا الشخصية االسم أساذ مساعد المربة العلمية قسم الهندسة الميكانيكية-كلية الهندسة-جامعة الموصل جهة العمل سيطرة ونظم الخصص 7724498 الجوال

المزيد من المعلومات

VATP004 VAT Public Clarification Use of Exchange Rates for VAT purposes توضيح عام بشأن ضريبة القيمة المضافة استخدام أسعار الصرف لغايات ضريبة القيمة ال

VATP004 VAT Public Clarification Use of Exchange Rates for VAT purposes توضيح عام بشأن ضريبة القيمة المضافة استخدام أسعار الصرف لغايات ضريبة القيمة ال VATP004 VAT Public Clarification Use of Exchange Rates for VAT purposes توضيح عام بشأن ضريبة القيمة المضافة استخدام أسعار الصرف لغايات ضريبة القيمة المضافة Issue Article 69 of Federal Decree-Law No. (8)

المزيد من المعلومات

Template for Program Curriculum Structure

Template for Program Curriculum Structure ACADEMIC PROGRAM CURRICULUM STRUCTURE FORM خطة البرنامج األكاديمي Submitted by مقدم من Education كلية التربية Psychological Sciences/ Educational Sciences Name of Department / Academic Unit THE ACADEMIC

المزيد من المعلومات

افتتاحية العدد

افتتاحية العدد العمليات العسكرية لدول احللفاء واحملور فوق األرض الليبية 539 5 حوليات آداب عني مشس - اجمللد 4 )إبريل يونيه 24( أدريس عبدالصادق رحيل حممود Military Operations OF Allied and Axis Countries on the Libyan

المزيد من المعلومات

Microsoft Word - C#2

Microsoft Word - C#2 الفصل الا ول مفاهيم البرمجة بواسطة الا هداف معنى البرمجة بواسطة األھداف... 5 معنى الفصيلة 5...Class ما ھي دوال البناء و دوال الھدم...6 Construction & destruction ما ھي خاصية التوريث 7...inheritance ما

المزيد من المعلومات

جامعة عني مشس حوليات آداب عني مشس اجمللد ( 45 عدد يوليو سبتمرب 2017( )دورية علمية حملمة( حماوالت التحالف الصفوي األورب

جامعة عني مشس حوليات آداب عني مشس اجمللد ( 45 عدد يوليو سبتمرب 2017(   )دورية علمية حملمة( حماوالت التحالف الصفوي األورب جامعة عني مشس حوليات آداب عني مشس اجمللد 45 عدد يوليو سبتمرب 2017 http://www.aafu.journals.ekb.eg )دورية علمية حملمة ضد الدولة العثمانية 8051 8055 سم رة عبد الرزاق عبد هللا * كلية اآلداب املستخلص مجيع

المزيد من المعلومات

السيرة الذاتية

السيرة الذاتية السيرة الذاتية البيانات الشخصية االسم: عماد محمد سلومه محمود. الجنسية: ي. تاريخ ومكان الميالد: 4//5 بني سويف. الحالة االجتماعية: متزوج العنوان الحالي: : بنى سويف ارض الحرية عمارة خفاجي شقة 0.4.5 : جامعة

المزيد من المعلومات

جملة ميالف للبحوث والدراسات ISSN : اجمللد 1 العدد / 5 جوان 3152 Mila Univ center. Publish. Co. Environmental Issues and Major Powers. belgac

جملة ميالف للبحوث والدراسات ISSN : اجمللد 1 العدد / 5 جوان 3152 Mila Univ center. Publish. Co. Environmental Issues and Major Powers. belgac جملة ميالف للبحوث والدراسات ISSN : 3223-1235 اجمللد 1 العدد / 5 جوان 3152 Mila Univ center. Publish. Co. Environmental Issues and Major Powers. belgacemi.mouloud@yahoo.com shahinazsbi@yahoo.fr Abstract:

المزيد من المعلومات

Everything you need to know about Preventive Filling For more information or an Appointment Please call Ext. New Mowa

Everything you need to know about Preventive Filling For more information or an Appointment Please call Ext. New Mowa Everything you need to know about Preventive Filling For more information or an Appointment Please call 1826666 Ext. 2535 @NewMowasatHospital @NMOWASAT (965) 1 82 6666 www.newmowasat.com What is preventive

المزيد من المعلومات

1

1 Static stability Applications عند تسليط قوى محورية على االعمدة وعند البدء بزيادة الحمل (load) سوف يحصل فيھا عزم (moment) أي يحصل فيھا تشوه shape) (deflection وعند حصول االنبعاج (buckling) فان الحمل يسمى

المزيد من المعلومات

Everything you need to know about Plain adhesive fillings For more information or an Appointment Please call Ext. New

Everything you need to know about Plain adhesive fillings For more information or an Appointment Please call Ext. New Everything you need to know about Plain adhesive fillings For more information or an Appointment Please call 1826666 Ext. 2535 @NewMowasatHospital New Mowasat Hospital @NMOWASAT (965) 1 82 6666 New Mowasat

المزيد من المعلومات

RAK Chamber of Commerce & Industry Studies & Information Directorate غرفة تجارة وصناعة رأس الخيمة إدارة الدراسات والمعلومات 1122/21/21 مليار درهم حجم

RAK Chamber of Commerce & Industry Studies & Information Directorate غرفة تجارة وصناعة رأس الخيمة إدارة الدراسات والمعلومات 1122/21/21 مليار درهم حجم 1122/21/21 مليار درهم حجم تجارة دولة اإلمارات مع الدول العربية حققت التجارة اإلجمالية للدولة مع بقية الدول العربية زيادة سنوية مقدارها %2 تقريبا حيث شكلت الواردات الجزء األكبر من هذه التجارة وتبقى الزيادة

المزيد من المعلومات

Al-Furat Model Schools Dear parents, Once again, fun moments are in the sky. Its our pleasure to inform you about Alfurat school our awesome activity

Al-Furat Model Schools Dear parents, Once again, fun moments are in the sky. Its our pleasure to inform you about Alfurat school our awesome activity Al-Furat Model Schools Dear parents, Once again, fun moments are in the sky. Its our pleasure to inform you about Alfurat school our awesome activity day next Thursday, which is going to be about Practicing

المزيد من المعلومات

Trans-Thoracic Echocardiography

Trans-Thoracic Echocardiography Trans-Thoracic Echocardiography patienteducation@aub.edu.lb Copyright 2016 American University of Beirut. All rights reserved. What is Trans-Thoracic Echocardiography? Trans-thoracic echocardiography (TTE),

المزيد من المعلومات

اللغة العربية Items الدروس المطلوبة المتحان الفصل الدراسى األول 2019/2018 Primary 2 القراءة المحفوظات : كل الدروس : االناشيد + اآليات واالحاديث األسال

اللغة العربية Items الدروس المطلوبة المتحان الفصل الدراسى األول 2019/2018 Primary 2 القراءة المحفوظات : كل الدروس : االناشيد + اآليات واالحاديث األسال اللغة العربية Items الدروس المطلوبة المتحان الفصل الدراسى األول 2019/2018 Primary 2 القراءة المحفوظات : كل الدروس : االناشيد + اآليات واالحاديث األساليب: كل االساليب االمالء: من الدروس المذاكرة من الكتاب

المزيد من المعلومات

الفصل الثاني

الفصل الثاني 1 برنامج MINTAB 17 105 احص إعداد أ- ريم المبطي 2 الفصل الثاني ( اختبارات الفروض وفترات الثقة ) لمعالم مجتمع واحد أوال : اختبار المتوسط : لدينا حالتين : نستخدم اختبار Z عندما : N كبيرة و معلومة أو مجهولة

المزيد من المعلومات

Microsoft PowerPoint - Laser13 [Compatibility Mode]

Microsoft PowerPoint - Laser13 [Compatibility Mode] Laser Physics Modifying the laser output Lecture 15 www.hazemsakeek.com www.physicsacademy.org Modifying the laser output درسنا في المحاض ارت السابقة فكرة عمل الليزر والعوامل الا ساسية التي بدونها لا يمكن

المزيد من المعلومات

السادة وگاالت اإلعالن والعمالء احملترمني املوضوع اسعار اإلعالنات لعام ابتداء من ابريل Subject Rate card 2015 starting from April تهديگم شرگة ال

السادة وگاالت اإلعالن والعمالء احملترمني املوضوع اسعار اإلعالنات لعام ابتداء من ابريل Subject Rate card 2015 starting from April تهديگم شرگة ال السادة وگاالت اإلعالن والعمالء احملترمني املوضوع اسعار اإلعالنات لعام 20 ابتداء من ابريل Subject Rate card 20 starting from April تهديگم شرگة الراي العالمية للدعاية واإلعالن اطيب التحيات ونشگرگم على دعمگم

المزيد من المعلومات

Physics and Astronomy Department

Physics and Astronomy Department Physics and Astronomy Department ollege of Science-King Saud University Phys 104, Final Exam, Second Semester 2/7/1433 H الرقم الجامعي: اسم الطالب: اسم عضو هيئة التدريس: الشعبة: k= 9 10 9 N.m 2 / 2, ε

المزيد من المعلومات

070.Al-Ma'arij.v1.0

070.Al-Ma'arij.v1.0 Quran Pages These Quran Pages were produced as a teaching aid for a Quran Hifz class. New Surahs are continually being produced and corrections made to existing Surahs. For the latest version of these

المزيد من المعلومات

Microsoft Word EA-ECCM 2.doc

Microsoft Word EA-ECCM 2.doc ال نامج الزم والما للم وع الت لفة والتقدير واعداد ال م ان ة معتمد عالم ا 18-22 March 2018 Kuwait Introduction This 5-day workshop provides the construction professional with a detailed understanding of

المزيد من المعلومات

MEI ARABIC 103 SYLLABUS Middle East Institute Arabic 103 Beginners III Syllabus Instructor Name: Phone: MEI Phone: (202) MEI l

MEI ARABIC 103 SYLLABUS Middle East Institute Arabic 103 Beginners III Syllabus Instructor Name:   Phone: MEI Phone: (202) MEI   l Middle East Institute Arabic 103 Beginners III Syllabus Instructr Name: E-mail: Phne: MEI Phne: (202) 785-2710 MEI Email: languages@mei.edu Purpse f the Curse T enable students t further cnslidate their

المزيد من المعلومات

Everything you need to know about Abscess For more information or an Appointment Please call Ext. New Mowasat Hospita

Everything you need to know about Abscess For more information or an Appointment Please call Ext. New Mowasat Hospita Everything you need to know about Abscess For more information or an Appointment Please call 1826666 Ext. 2446 @NewMowasatHospital @NMOWASAT (965) 1 82 6666 www.newmowasat.com An abscess is a collection

المزيد من المعلومات

جامعة الزرقاء المتطلب السابق : مبادئ تسو ق الكل ة :االقتصاد والعلوم االدار ة. اسم المدرس :د.عبد الفتاح العزام القسم : التسو ق موعد المحاضرة : 1-12 عنو

جامعة الزرقاء المتطلب السابق : مبادئ تسو ق الكل ة :االقتصاد والعلوم االدار ة. اسم المدرس :د.عبد الفتاح العزام القسم : التسو ق موعد المحاضرة : 1-12 عنو جامعة الزرقاء المتطلب السابق : مبادئ تسو ق الكل ة :االقتصاد والعلوم االدار ة. اسم المدرس :د.عبد الفتاح العزام القسم : التسو ق موعد المحاضرة : 1-12 عنوان المقرر:التسو ق االلكترون الساعات المكتب ة :12-11

المزيد من المعلومات

أنواع املنح التركية 1. منح حر ان : هو برنامج لتقديم املنح للمرحلة الجامعية األولى )البكالوريوس(. ويشمل التخصصات العلمية واالقتصاد والعلوم االجتماعية.

أنواع املنح التركية 1. منح حر ان : هو برنامج لتقديم املنح للمرحلة الجامعية األولى )البكالوريوس(. ويشمل التخصصات العلمية واالقتصاد والعلوم االجتماعية. أنواع املنح التركية 1. منح حر ان : هو برنامج لتقديم املنح للمرحلة الجامعية األولى )البكالوريوس(. ويشمل التخصصات العلمية واالقتصاد والعلوم االجتماعية. ويستهدف الطالب من مجموعة من الدول من بينها الدول العربية

المزيد من المعلومات

Subject

Subject KG 1 Weekly I Plan -28 26th -30th April. 2015 Important Dates this week : Wednesday : Movie Day Every Thursday : Parent Meet and Greet 12:40-1:10 pm LA Letter: v,y Number: 20, 21 Core vocabulary: van,

المزيد من المعلومات

الباب الثاني: تحليل الطلب

الباب الثاني: تحليل الطلب انثاب انثاوي: تحهيم انطهة اعداد أستاذ دكتور: ممدوح مدبولي 1 تعريف انطهة رغبة مصحوبة بقدرة شرائ ة الكم ة الت طلبها المستهلك من سلعة ما عند سعرها الحال نماذا ودرس انطهة ان نجاح أو فشل أي منشأة اقتصاد ة توقف

المزيد من المعلومات

الشهادات عدد RAK Chamber of Commerce & Industry Studies & Information Directorate غرفة تجارة وصناعة رأس الخيمة إدارة الذراسات والمعلومات / 5 مليار دره

الشهادات عدد RAK Chamber of Commerce & Industry Studies & Information Directorate غرفة تجارة وصناعة رأس الخيمة إدارة الذراسات والمعلومات / 5 مليار دره الشهادات عدد / 5 مليار درهم قيمت صادراث أعضاء غرفت رأس الخيمت في 0202 حجارة ظزا إنى ان انذي حش ذ إيارة رأص انخ ت ف ج ع يجاالح ا فمذ حممج انخجارة انخارج ت أ ضا ا يهح ظا بارسا انذي ش م انخصذ ز إعادة انخصذ

المزيد من المعلومات

Microsoft Word - Oracle1

Microsoft Word - Oracle1 360 Hòî b þa@âbè½ai Oracle Developer îôèm@æë í@áüèm pbíìn a@ ŠèÏ تعرف على ا وراكل تعرف على أوراكل... 4 مالمح ومزايا مجموعة التطوير 5...Oracle Developer Suite 10g أدوات تطوير التطبيقات...6 Oracle Developer

المزيد من المعلومات

Microsoft Word - Access VBA

Microsoft Word - Access VBA الفصل الا ول (البداية) تصميم قاعدة بيانات بسيطة...4 الا وامر الا ساسية المتوفرة فى المعالجات...12 الفصل الثانى (برمجة ا كسيس (VBA برمجة أآسيس...18 VBA مقارنة بين VB وVBA...18 الدخول إلى صفحات آتابة برامج

المزيد من المعلومات

Microsoft Word - Excel VBA

Microsoft Word - Excel VBA الفصل الا ول (البداية) قواعد البرمجة...4 مقارنة بين VB و...4 VBA ضبط بيي ة Excel للبرمجة...5 الماآرو فى برنامج...8 Excel أنواع الماآرو... 9 تنفيذ الماآرو... 11 شروط اسماء المتغيرات...18 الكاي ناتObjects...18

المزيد من المعلومات

مدرســــة الوحدة الخاصة

مدرســــة الوحدة الخاصة Final Exam of Second Semester Required Materials (2018-2019) Grade 1 Subject Required Material Standards اللغة العربية 2.1.1 أن يقرأ المتعلم نصوصا شعرية وأعماال نثرية ويحللها وينتج فهما جديدا للفكرة المحورية

المزيد من المعلومات

Kingdom of Saudi Arabia National Commission for Academic Accreditation & Assessment اململكة العربية السعودية اهليئة الوطنية للتقويم واالعتماد األكاديم

Kingdom of Saudi Arabia National Commission for Academic Accreditation & Assessment اململكة العربية السعودية اهليئة الوطنية للتقويم واالعتماد األكاديم The Course Specifications (CS) Form 5a_Course Specifications _SSRP_1 JULY 2013 Page 1 Course Specifications Institution Date of Report: 6/11/2013 Alyamamah University College/Department: قسم اإلنسانيات

المزيد من المعلومات

BMS

BMS تموز (7) 28/07/ 04/07/ 11/07/ 27/07/ 06/07/ 06/07/ تصمیم أنظمة إطفاء الحریق Fire Fighting Systems Design 120 16/09/ 10/07/ CCNA (Cisco Certified Network Associate) 27 03/08/ 13/07/ تصمیم الا بنیة العالیة

المزيد من المعلومات

دائرة اللوازم والمشتريات عطاء رقم )31/2017,18( توفير Spectrophotometer 2017, 2018

دائرة اللوازم والمشتريات عطاء رقم )31/2017,18( توفير Spectrophotometer 2017, 2018 دائرة اللوازم والمشتريات عطاء رقم )3/207,8( توفير Spectrophotometer 207, 208 عطاء توفير Spectrophotometer وثائق العطاء: أ- الجزء األول: )( دعوة العطاء )2( الشروط والتعليمات التنظيمية للعطاء )3( طريقة الدفع

المزيد من المعلومات

افتتاحية العدد

افتتاحية العدد الزواج العرف للقاصرات وغ اب المعا ر االجتماع ة دراسة م دان ة بقر ة مصر ة فتح ة الس د الحوت الملخص 681 فتخية الشيد احلوتي The customary marriage of minors and the absence of social standards Field study

المزيد من المعلومات

CHAPTER 5

CHAPTER 5 Q2. The figure represents the velocity of a particle as it travels along the x-axis. At what value (or values) of t is the instantaneous acceleration equal to zero? a = dv dt = slope of (v t) curve t(.

المزيد من المعلومات

Week: Oct14-Oct18, 2018 English GRADE 2D Weekly Lesson Plan and Homework Sheet TOPIC AND MATERIALS AS CLASSWORK SUNDAY 14/Oct/2018 Concept: How can we

Week: Oct14-Oct18, 2018 English GRADE 2D Weekly Lesson Plan and Homework Sheet TOPIC AND MATERIALS AS CLASSWORK SUNDAY 14/Oct/2018 Concept: How can we Week: Oct14-Oct18, 2018 GRADE 2D Weekly Lesson Plan and Homework Sheet TOPIC AND MATERIALS AS CLASSWORK SUNDAY 14/Oct/2018 Concept: How can we help each other in dangerous situations? HOMEWORK ASSIGNMENTS

المزيد من المعلومات

Thermodynamics A/C Techniques Dep. 1 st Year Class First Term Lecture 13 : The Second Law of Thermodynamics by: Asst. lect. Karrar Al-Mansoo

Thermodynamics A/C Techniques Dep. 1 st Year Class First Term Lecture 13 : The Second Law of Thermodynamics by: Asst. lect. Karrar Al-Mansoo Lecture 13 : The Second Law of 13.1 The Second Law of In previous Lecturs., we applied the first law of thermodynamics, or the conservation of energy principle, to processes involving closed and open systems.

المزيد من المعلومات